하드웨어 기술 언어
"오늘의AI위키"의 AI를 통해 더욱 풍부하고 폭넓은 지식 경험을 누리세요.
1. 개요
하드웨어 기술 언어(HDL)는 전자 시스템의 구조와 동작을 텍스트 기반으로 표현하는 언어로, 1970년대 디지털 회로의 복잡성 증가에 따라 등장했다. 초기에는 ISP, KARL과 같은 언어가 개발되었으며, 1980년대에는 ABEL, Verilog, VHDL 등이 개발되어 업계 표준으로 자리 잡았다. HDL은 디지털 회로 설계의 핵심 도구로 사용되며, 최근에는 SystemVerilog와 같이 기능을 확장하려는 시도가 이루어지고 있다. 한국에서는 1990년대부터 HDL이 도입되어 반도체 산업 발전에 기여하고 있으며, 대학과 기업에서 관련 인력 양성이 이루어지고 있다. HDL은 하드웨어의 실행 가능한 사양을 작성하는 데 사용되며, 시뮬레이션 및 디버깅을 통해 설계 검증을 수행한다. Verilog와 VHDL이 널리 사용되며, SystemVerilog, SystemC, MyHDL 등 다양한 종류의 HDL이 존재한다. 또한, 아날로그 및 혼합 신호 회로 설계를 위한 Verilog-AMS와 VHDL-AMS와 같은 확장도 개발되었다.
더 읽어볼만한 페이지
- 하드웨어 기술 언어 - 아벨 (프로그래밍 언어)
아벨은 고급 프로그래밍 언어의 한 종류이다. - 하드웨어 기술 언어 - VHDL
VHDL은 디지털 회로의 설계, 검증, 구현에 사용되는 하드웨어 설명 언어(HDL)로, 에이다를 기반으로 하며, 병렬 시스템 설명, 데이터 타입 지원, 코드 재사용 및 이식성을 제공한다. - 프로그래밍 언어 분류 - 고급 프로그래밍 언어
고급 프로그래밍 언어는 기계어보다 높은 수준의 추상화를 제공하며, 변수, 객체, 함수 등의 개념을 사용하여 프로그래머가 기계의 세부 사항에서 분리되어 편리하게 프로그래밍할 수 있도록 하는 언어이다. - 프로그래밍 언어 분류 - 시각적 프로그래밍 언어
시각적 프로그래밍 언어는 프로그램 구성을 위해 그래픽 또는 아이콘 요소를 사용하는 프로그래밍 언어로서, 프로그래밍 입문자의 접근성을 높이고 프로그래머를 지원하며, 구문 오류 감소, 의미 명확화, 동작 연구 지원 등의 기능을 제공한다. - 기술 소통 - 교열
교열은 글의 명확성과 정확성을 높이기 위해 문법, 용법, 내용, 구성 요소 간의 관계 등을 고려하여 오류를 수정하고 재구성하는 복잡한 과정으로, 디지털 환경 변화에 따라 역할이 재정립되고 있다. - 기술 소통 - 국제화와 지역화
국제화는 소프트웨어를 다양한 언어와 지역을 지원하도록 설계하는 과정이며, 지역화는 특정 언어와 지역에 맞춰 조정하는 과정으로, 문자 인코딩, 날짜/시간 형식, 통화, 법적 규제, 문화적 차이 등을 고려하여 제품을 적응시키는 것을 의미한다.
하드웨어 기술 언어 | |
---|---|
개요 | |
유형 | 컴퓨터 언어 |
패러다임 | 선언형 프로그래밍 병렬 프로그래밍 동시 프로그래밍 |
설계 대상 | 디지털 회로 |
추상화 수준 | 레지스터-전송 레벨 (RTL) 게이트 레벨 스위치 레벨 알고리즘 레벨 (행동 레벨) |
주요 HDL | |
주요 언어 | VHDL Verilog SystemVerilog SystemC Bluespec SpinalHDL Chisel Magma |
활용 | |
주요 용도 | 디지털 회로 설계 회로 검증 하드웨어 시뮬레이션 논리 합성 FPGA 프로그래밍 ASIC 설계 |
특징 | |
주요 특징 | 병렬 처리 모델링 가능 시간 개념 명시적 표현 하드웨어 동작 시뮬레이션 가능 자동 합성 도구 지원 |
역사 | |
초기 개발 동기 | 회로 설계 자동화 및 표준화 |
주요 발전 단계 | VHDL 및 Verilog 표준화 SystemVerilog 등장 고수준 합성 (HLS) 기술 발전 |
관련 분야 | |
관련 분야 | 전자 설계 자동화 (EDA) 임베디드 시스템 디지털 시스템 설계 컴퓨터 아키텍처 |
장점 및 단점 | |
장점 | 설계 생산성 향상 회로 검증 용이 재사용성 증가 |
단점 | 학습 곡선 존재 추상화 수준에 따른 성능 차이 합성 도구 의존성 |
2. 역사
HDL의 역사는 1970년대 디지털 회로의 복잡성이 증가하면서 시작되었다. 초기에는 특정 기술에 종속되지 않는 고수준에서의 디지털 논리 기술이 필요했으며, 이에 따라 레지스터 전송 레벨(RTL) 추상화를 구현하는 HDL이 등장했다.[1] 1970년대 카네기 멜론 대학교의 ISP[29][30]와 카이저슬라우테른 대학교의 KARL[8]이 초기 HDL로 개발되었다. ISP는 프로그래밍 언어와 유사한 방식으로 설계상의 입력과 출력의 관계를 기술했다. KARL은 대규모 집적 회로의 회로 배치를 기술하는 기능도 가지고 있었다.
HDL은 전자 시스템의 구조와 동작을 텍스트로 표현하는 언어이다.[1] 병행 프로그래밍 언어처럼, HDL은 병행성 표현을 위한 표기법을 포함한다. 그러나 HDL은 하드웨어의 주요 속성인 시간을 명시적으로 표현하며, 이는 대부분의 소프트웨어 프로그래밍 언어와는 대조적이다.[1]
현대 디지털 회로 설계는 대부분 HDL을 중심으로 이루어진다. 설계는 요구 사항 집합이나 상위 수준의 아키텍처 다이어그램에서 시작된다.[32] HDL 기술은 회로의 특성과 설계자의 코딩 스타일에 따라 달라진다.[32] 설계자는 펄과 같은 스크립트 언어를 사용하여 HDL로 반복적인 회로 구조를 자동 생성하기도 한다.[32]
HDL 모델을 시뮬레이션하기 위해 엔지니어는 최상위 시뮬레이션 환경(테스트 벤치라고 함)을 작성한다. 최소한, 테스트 벤치에는 모델의 인스턴스화(테스트 대상 장치 또는 DUT라고 함), 모델의 I/O에 대한 핀/신호 선언 및 클럭 파형이 포함된다.[3] 테스트 벤치 코드는 이벤트 구동 방식이다. 엔지니어는 (테스트 벤치에서 생성된) 리셋 신호를 구현하고, 인터페이스 트랜잭션(예: 호스트-버스 읽기/쓰기)을 모델링하고, DUT의 출력을 모니터링하기 위해 HDL 문을 작성한다.[3] 테스트 벤치를 실행하는 프로그램인 HDL 시뮬레이터는 시뮬레이터 클럭을 유지 관리하며, 이는 테스트 벤치 시뮬레이션의 모든 이벤트에 대한 마스터 참조이다.[3] 이벤트는 테스트 벤치 HDL에 의해 지시된 순간(예: 테스트 벤치에 코딩된 리셋 토글) 또는 자극 및 트리거링 이벤트에 대한 반응(모델에 의해)으로만 발생한다.[3] 최신 HDL 시뮬레이터는 완전한 기능의 그래픽 사용자 인터페이스를 갖추고 있으며, 디버그 도구 모음이 함께 제공된다.[3] 이를 통해 사용자는 언제든지 시뮬레이션을 중지하고 다시 시작하고, 시뮬레이터 중단점(HDL 코드와 독립적)을 삽입하고, HDL 모델 계층 구조의 모든 요소를 모니터링하거나 수정할 수 있다.[3] 최신 시뮬레이터는 정의된 PLI/VHPI 인터페이스를 통해 HDL 환경을 사용자 컴파일 라이브러리에 연결할 수도 있다.[3] 링크는 HDL 시뮬레이터와 사용자 라이브러리가 HDL 환경 외부에서 컴파일 및 링크되므로 시스템 종속적이다(x86, SPARC 등, Windows/Linux/Solaris 실행).[3]
HDL은 소프트웨어 프로그래밍 언어와 유사하지만, 몇 가지 중요한 차이점이 있다. 대부분의 프로그래밍 언어는 본질적으로 절차적이며, 동시성 처리를 위한 구문 및 의미론적 지원이 제한적이다. 반면 HDL은 여러 병렬 프로세스(예: 플립플롭, 가산기)를 모델링하는 능력 측면에서 동시 프로그래밍 언어와 유사하며, 이러한 프로세스들은 서로 독립적으로 자동으로 실행된다.[1] 입력이 바뀌면 시뮬레이터의 프로세스 스택에서 업데이트가 자동으로 트리거된다.
하드웨어 기술 언어(HDL)는 어셈블리 언어와 비교되기도 하는데, HDL에서의 프로그래밍 복잡성을 줄이기 위해 하드웨어 설계의 추상화 수준을 높이려는 시도가 있었다. 이를 통해 ''고수준 합성(High-Level Synthesis, HLS)''이라는 하위 분야가 생겨났다.[11]
역사적으로 설계 검증은 시뮬레이션 테스트 케이스를 작성하고 실행하는, 많은 노력이 필요한 반복적인 과정이었다. 칩 디자인이 대규모화되고 복잡해짐에 따라 설계 검증 작업량이 증가하여 현재는 디자인 팀 일정에서 가장 큰 비중을 차지한다. 설계 생산성을 향상시키기 위해 전자 설계 자동화 업계는 속성 명세 언어를 개발했다.
VHDL과 Verilog, SystemVerilog는 업계에서 가장 널리 사용되고 잘 지원되는 두 가지 주요 하드웨어 기술 언어(HDL)이다.[35] 이 외에도 다양한 HDL이 존재한다.
1980년대에는 프로그래머블 로직 소자(PLD) 설계가 인기를 얻으면서, 데이터 I/O는 ABEL을 도입했다.[8] 1985년에는 게이트웨이 디자인 오토메이션이 Verilog HDL를, 인터메트릭스가 VHDL의 첫 버전을 출시했다.[10] VHDL은 미국 국방부의 초고속 집적 회로 프로그램(VHSIC) 지시에 따라 개발되었으며, 에이다 프로그래밍 언어와 ISPS 개발 경험을 바탕으로 했다.
이후 Verilog HDL와 VHDL은 업계 표준 HDL로 자리 잡았으며, 논리 합성 기술 발전과 함께 디지털 설계의 핵심 도구로 부상했다. 케이던스 디자인 시스템즈는 나중에 베릴로그 시뮬레이터의 사실상 표준이 될 HDL 시뮬레이터인 Verilog-XL의 권리를 위해 게이트웨이 디자인 오토메이션을 인수했다. 논리 합성 툴은 HDL 소스 파일을 게이트 및 트랜지스터 측면에서 제조 가능한 넷리스트 설명으로 컴파일했다.
최근에는 SystemVerilog와 같이 HDL의 기능을 확장하고 개선하려는 노력이 지속되고 있다.
3. HDL의 구조 및 특징
HDL은 구조적, 동작적, 또는 레지스터 전송 레벨(RTL) 아키텍처로 설계를 표현할 수 있다.[1] HDL은 하드웨어를 물리적으로 제작하기 전에 시뮬레이터를 통해 모델링할 수 있는 실행 가능한 사양을 작성하는 데 사용된다.[1]
HDL과 소프트웨어 프로그래밍 언어는 모두 컴파일러(HDL의 경우에는 보통 synthesizer라고 불린다)에 의해 처리되지만, HDL은 칩의 로직(logic)을 기술한 파일을 생성하고, 산업 표준인 EDIF 포맷으로 변환 후, PLD 프로그래머가 PLD를 프로그래밍하는 데 필요한 명령어를 담고 있는 JEDEC 포맷 파일로 변환하는 단계를 거친다.
현재는 회사 고유의 포맷을 이용하기보다는 VHDL과 Verilog로 대표되는 표준 HDL로 넘어가고 있는 추세이다. 주요 하드웨어 기술 언어에는 VHDL과 Verilog가 있으며, 이들은 "데이터 흐름, 동작 및 구조적"과 같은 다양한 유형의 설명을 제공한다.
하드웨어 기술 언어의 처리계에는 넷리스트나 프로그래머블 로직 디바이스의 구성을 생성하는 '''합성계'''와, 기술에 직접 기반하여 시뮬레이션을 수행하는 시뮬레이터가 있다.[28] 시뮬레이터를 통해 하드웨어 설계자는 구현 전에 하드웨어의 동작을 확인할 수 있다.
C++(와)과 같은 프로그래밍 언어로 구현된 내부 DSL(internal/embedded DSL)로 구현된 SystemC와 같은 하드웨어 기술 언어도 있다.
하드웨어 기술 언어 기술의 추상도(수준)는 다음과 같다.추상도(수준) 설명 구조(아키텍처) 시스템의 구조, 기본 기능(알고리즘)을 기술 동작(BL[32]) 회로의 동작을 기능 측면에서 기술 레지스터 전송(RTL[33]) 레지스터와 연산기 및 그 사이의 배선(접속)을 기술 게이트(GL[34]) 플립플롭이나 논리 소자: 게이트(not, and, or, xor)로 회로도를 표현
4. HDL을 이용한 설계
HDL 코드는 코드 검토 및 감사를 거친다.[32] 합성 준비를 위해 HDL 설명은 자동 검사기로 검사한다.[32] 검사기는 표준화된 코드 가이드라인에서 벗어나는 부분을 보고하고, 잠재적 모호한 코드 구성을 식별하며, 일반적인 논리적 코딩 오류를 확인한다.[32]
일반적으로 HDL 설계는 합성 단계에서 종료된다.[32] 합성 도구가 HDL 기술을 게이트 넷리스트로 매핑하면, 넷리스트는 백엔드 단계로 전달된다.[32] 마지막으로, 집적 회로가 제조되거나 사용을 위해 프로그래밍된다.[32]
하드웨어 기술 언어 기술의 추상도(수준)는 다음과 같다.[33],[34]추상도(수준) 설명 구조(아키텍처) 시스템의 구조, 기본 기능(알고리즘)을 기술한다. 동작(BL) 회로의 동작을 기능 측면에서 기술한다. 레지스터 전송(RTL) 레지스터와 연산기 및 그 사이의 배선(접속)을 기술한다. 게이트(GL) 플립플롭이나 논리 소자(게이트: not, and, or, xor)로 회로도를 표현한다.
5. HDL 시뮬레이션 및 디버깅
6. HDL과 프로그래밍 언어의 비교
HDL과 프로그래밍 언어 모두 컴파일러(HDL의 경우 종종 합성기라고 함)에 의해 처리되지만, 그 목표는 다르다. HDL에서 "컴파일"은 논리 합성을 의미하며, 이는 HDL 코드 목록을 물리적으로 실현 가능한 게이트 넷리스트로 변환하는 프로세스이다.[28] 넷리스트 출력은 게이트 지연 정보를 포함하는 "시뮬레이션" 넷리스트, 반도체 다이에서 합성을 거친 후 배치 및 라우팅을 위한 "핸드오프" 넷리스트, 범용 산업 표준 전기 설계 교환 형식(EDIF) 등 여러 형태를 취할 수 있다.
반면 소프트웨어 컴파일러는 소스 코드 목록을 대상 마이크로프로세서에서 실행하기 위한 마이크로프로세서별 오브젝트 코드로 변환한다. HDL과 프로그래밍 언어는 서로의 개념과 기능을 차용하며 경계가 모호해지고 있지만, 순수한 HDL은 일반적인 응용 소프트웨어 개발에는 적합하지 않으며, 범용 프로그래밍 언어가 하드웨어 모델링에 바람직하지 않은 것과 같다.
전자 시스템의 복잡성이 증가하고 재구성 가능 시스템이 보편화되면서, 하드웨어 설계와 소프트웨어 프로그래밍의 일부 작업을 모두 수행할 수 있는 단일 언어에 대한 업계의 요구가 커지고 있다. SystemC가 이러한 예시 중 하나이다.
7. 고위 수준 합성 (High-Level Synthesis, HLS)
케이던스 디자인 시스템즈, 시놉시스와 같은 회사들은 FPGA의 설계 주기를 단축하기 위해 SystemC를 동시성 모델과 결합하는 방식을 홍보하고 있다. 표준 C 또는 C++를 기반으로 하는 접근 방식도 있는데, 멘토 그래픽스의 Catapult C 도구나 임펄스 액셀러레이티드 테크놀로지스의 Impulse C 도구에서 찾아볼 수 있다.[11]
인텔은 SYCL과 관련된 Data Parallel C++를 고수준 합성 언어로 사용하는 유사한 이니셔티브를 진행하고 있다.
내셔널 인스트루먼트의 LabVIEW FPGA는 그래픽 기반의 데이터 흐름 방식을 고수준 설계 입력에 제공한다. SystemVerilog, SystemVHDL 및 Handel-C와 같은 언어는 기존 하드웨어 엔지니어의 생산성을 높이는 데 목표를 두고 있다.[11]
MathWorks HDL Coder 도구[12], 인텔 FPGA용 DSP Builder[13], 자일링스의 Xilinx System Generator (XSG)[14]를 사용하여 MATLAB 및 Simulink를 통해 하드웨어 모듈을 설계하는 것도 가능하다.
초기에는 하드웨어 기술 언어가 대규모 집적 회로 시뮬레이션을 위해 개발되었지만, 논리 합성 기술이 발전하면서 HDL로 작성된 설계로부터 논리 회로를 자동으로 생성하는 것이 가능해졌다. 현재는 RTL(Register-Transfer Level) 설계보다 더 높은 추상 수준의 하드웨어 설계를 가능하게 하는 고위 합성 기술이 개발되고 있으며, 멘토 그래픽스의 Catapult C Synthesis나 시놉시스의 Synphony C Compiler와 같은 도구들이 시판되고 있다.
RTL 상위에는 동작(비헤이비어 레벨)이 있으며, 하드웨어의 동작이나 알고리즘을 기술한다. 특히 이 동작 기술을 대상으로 하는 고위 합성을 동작 합성이라고 부른다. 이 동작 기술에서는 하드웨어를 프로그래밍 언어를 사용한 소프트웨어 기술과 거의 동일한 방식으로 기술하게 된다.
이로부터 하드웨어와 소프트웨어를 동시에 구분 없이 설계·합성하는 기술의 연구 개발도 진행되고 있다. ''하드웨어-소프트웨어 협조 설계(코디자인)''는 소프트웨어 기술자가 HDL 프로그램을 이해하고, 하드웨어 기술자가 소프트웨어 프로그램을 이해함으로써 가속화될 수 있다.
이러한 고위 합성 기술, 협조 설계 기술을 종합하여 '''시스템 레벨 설계''' 또는 시스템 설계 기술이라고 부른다. 이러한 기술을 사용하여 하드웨어와 소프트웨어를 구분하지 않고, 소프트웨어 기술과 동일한 추상도로 논리(디지털) 시스템 전체를 기술하는 것을 '''시스템 레벨 설계'''라고 부르기도 한다.
이러한 시스템 레벨 설계에 사용되는 언어로는 C 언어를 확장한 SpecC, C++의 템플릿 라이브러리로 구현된 SystemC, Verilog HDL을 확장한 SystemVerilog 등이 있다. 특히 SpecC나 SystemC와 같이 C/C++를 기반으로 한 언어를 이용한 설계를 C 언어 설계라고 부르며, 일본에서는 시스템 레벨 설계라고 하면 C 언어 설계를 지칭하는 경우도 있다.
8. 설계 검증
형식적 검증에서 속성은 다른 객체의 예상되거나 가정된 동작에 대한 사실 진술이다. 이상적으로는 주어진 HDL 설명에 대해 형식적, 수학적 방법을 사용하여 속성의 참 또는 거짓을 증명할 수 있다. 실제로는 많은 속성이 무제한의 해결 공간을 차지하기 때문에 증명할 수 없다. 그러나 일련의 작동 가정이나 제약 조건이 제공되면 속성 검사기는 해결 공간을 좁혀 특정 속성을 증명(또는 반증)할 수 있다.
표명은 회로 활동을 모델링하는 것이 아니라 HDL 코드에서 디자이너의 의도를 캡처하고 문서화한다. 시뮬레이션 환경에서 시뮬레이터는 지정된 모든 표명을 평가하여 위반 사항의 위치와 심각도를 보고한다. 합성 환경에서 합성 도구는 일반적으로 위반 발생 시 합성을 중단하는 정책으로 작동한다. 표명 기반 검증은 아직 초기 단계이지만, HDL 디자인 도구 세트의 필수적인 부분이 될 것으로 예상된다.
9. 주요 HDL 종류
이름 호스트 프로그래밍 언어 설명 AHDL Altera의 독점 소프트웨어 MyHDL 파이썬 파이썬 기반 (내장 DSL) Chisel Scala Scala 기반 (내장 DSL) SystemC C++ 시스템 수준에서 디지털 하드웨어의 고급 동작 및 트랜잭션 모델링을 위한 표준화된 C++ 라이브러리 클래스
9. 1. Verilog
IEEE 1364 표준으로 정의된 Verilog는 게이트웨이 디자인 오토메이션에서 개발한 하드웨어 기술 언어(HDL)이다.[35] C 언어와 유사한 문법 구조를 가지며, 간결하고 배우기 쉽다는 장점이 있다. VHDL과 함께 업계에서 가장 널리 사용되는 하드웨어 기술 언어 중 하나이다.[35]
9. 2. VHDL
VHDL은 미국 국방부의 초고속 집적 회로 프로그램(VHSIC) 지시에 따라 개발된 HDL이다.[10] 에이다 프로그래밍 언어와 ISPS의 초기 개발 경험을 바탕으로 만들어졌다.[10] 1986년 미국 국방부의 지원으로 IEEE 표준으로 후원되었고(IEEE Std 1076), 1987년 12월에 IEEE Std 1076-1987이 승인되었다.[10]
VHDL은 회로도와 같이 이미 캡처 및 설명된 회로 설계를 문서화하고 시뮬레이션하는 데 사용되었다. HDL 시뮬레이션을 통해 엔지니어는 회로도 수준의 시뮬레이션보다 더 높은 수준에서 작업할 수 있게 되어 설계 용량을 수백 개의 트랜지스터에서 수천 개로 증가시켰다.
논리 합성 도입으로 VHDL은 디지털 설계에서 뒷전에서 앞자리로 이동했다. 합성 도구는 HDL 소스 파일(RTL이라는 제한된 형식으로 작성됨)을 게이트 및 트랜지스터 측면에서 제조 가능한 넷리스트 설명으로 컴파일했다.
VHDL은 전자 산업에서 지배적인 HDL로 부상했으며, 구형의 기능이 부족한 HDL은 점차 사용되지 않게 되었다. VHDL은 업계에서 가장 널리 사용되고 잘 지원되는 두 가지 HDL 종류 중 하나이다.
9. 3. SystemVerilog
SystemVerilog는 Verilog의 기능을 확장한 상위 집합으로, 시스템 수준의 설계 및 검증 기능을 강화하였다. IEEE 1800 표준으로 정의되어 있다.[15] 객체 지향 프로그래밍, 제약 조건 기반 검증, 테스트 벤치 자동화 등 고급 기능을 제공한다. Verilog HDL과 함께 업계에서 주류로 사용되는 하드웨어 기술 언어 중 하나이다.
9. 4. 기타 HDL
업계에서 주로 사용되는 VHDL과 Verilog 외에도 다양한 HDL이 존재한다.
10. 아날로그 회로 설계를 위한 HDL
Verilog-AMS와 VHDL-AMS는 아날로그 및 혼합 신호 회로 설계를 위한 하드웨어 기술 언어(HDL) 확장이다. 이 언어들은 디지털 HDL에 아날로그 회로 기술 기능을 추가하여, 복잡한 혼합 신호 시스템의 모델링과 시뮬레이션을 가능하게 한다.[1]
이름 | 설명 |
---|---|
Verilog-AMS | 아날로그 및 혼합 신호 시뮬레이션을 위한 Accellera 표준 확장인 IEEE Std 1364 Verilog |
VHDL-AMS | 아날로그 및 혼합 신호 시뮬레이션을 위한 IEEE 표준 확장(IEEE Std 1076.1)인 VHDL |
참조
[1]
서적
Advanced Digital Design with Verilog HDL
Prentice Hall
[2]
논문
A comparison of register transfer languages for describing computers and digital systems
Carnegie-Mellon Univ., Dept. of Computer Science
1973-03-01
[3]
서적
Computer Structures: Readings and Examples
https://archive.org/[...]
McGraw-Hill
[4]
서적
Milestones in computer science and information technology
https://archive.org/[...]
Greenwood Press
[5]
서적
Designing Computers and Digital Systems
Digital Press
[6]
보고서
The Symbolic Manipulation of Computer Descriptions: ISPL Compiler and Simulator
Department of Computer Science, Carnegie-Mellon University
1976
[7]
보고서
The ISPS Computer Description Language
Department of Computer Science, Carnegie-Mellon University
1977
[8]
보고서
ABL specification
CSELT and University of Kaiserslautern
1983
[9]
서적
Fundamentals and Standards in Hardware Description Languages
Springer
[10]
보고서
Ada as a hardware description language : an initial report
Department of Computer Science, Carnegie-Mellon University
1984
[11]
뉴스
VHDL-Based FPGA Programming Application Software Tool
https://www.annapmic[...]
Annapolis Micro Systems, Inc.
2018-12-01
[12]
웹사이트
VHDL code - HDL Coder - MATLAB & Simulink
http://www.mathworks[...]
Mathworks.com
2011-04-30
[13]
웹사이트
Digital Signal Processing (DSP) Builder - Intel® FPGAs
https://www.intel.co[...]
2021-09-20
[14]
웹사이트
System Generator for DSP
https://web.archive.[...]
Xilinx.com
2012-08-11
[15]
문서
A History of Haskell: being lazy with class
[16]
웹사이트
Chisel/FIRRTL Hardware Compiler Framework
https://www.chisel-l[...]
[17]
웹사이트
COLAMO
http://colamo.parall[...]
2024-07-01
[18]
웹사이트
Higher-level language COLAMO – НИЦ супер-ЭВМ и нейрокомпьютеров
http://superevm.ru/i[...]
[19]
저널
EDIF grows up
[20]
웹사이트
Hardcaml
http://www.ujamjar.c[...]
[21]
서적
Proceedings of ASP-DAC'95/CHDL'95/VLSI'95 with EDA Technofair
[22]
웹사이트
Chalmers Lava
http://hackage.haske[...]
[23]
웹사이트
Xilinx Lava
http://hackage.haske[...]
[24]
웹사이트
Kansas Lava
http://hackage.haske[...]
[25]
웹사이트
York Lava
http://hackage.haske[...]
[26]
웹사이트
Rapid Open Hardware Development (ROHD) Framework
https://github.com/i[...]
2021-11-17
[27]
웹사이트
TL-Verilog
http://www.tl-x.org
[28]
문서
現在のケイデンス・デザイン・システムズ社
[29]
문서
이름 “ISP”는 “명령어 집합 처리기”를 의미하는 영어 “instruction set processor”에 유래한다.
[30]
논문
The ISPL Language
카네기 대학교 컴퓨터 과학과
[31]
서적
Fundamentals and Standards in Hardware Description Languages
Springer-Verlag
[32]
문서
behavior level
[33]
문서
register transfer level
[34]
문서
gate level
[35]
웹사이트
http://www.seas.upen[...]
[36]
웹사이트
Atom
http://funhdl.org/wi[...]
[37]
웹사이트
High-Level Synthesis
http://www.bluespec.[...]
[38]
웹사이트
Chisel
http://chisel.eecs.b[...]
[39]
웹사이트
HDCAML
http://funhdl.org/wi[...]
[40]
웹사이트
IEEE Xplore
http://ieeexplore.ie[...]
[41]
웹사이트
HHDL
http://hackage.haske[...]
[42]
웹사이트
Haskell Cafe Mailing List
http://www.haskell.o[...]
[43]
웹사이트
IEEE Xplore
http://ieeexplore.ie[...]
[44]
웹사이트
Hydra
http://www.dcs.gla.a[...]
[45]
웹사이트
JHDL
http://www.jhdl.org/
[46]
웹사이트
Lava
http://www.cse.chalm[...]
[47]
웹사이트
Lava
http://www.raintown.[...]
[48]
웹사이트
Kansas Lava
http://ittc.ku.edu/c[...]
[49]
웹사이트
York Lava
http://www.cs.york.a[...]
[50]
웹사이트
MyHDL
http://www.myhdl.org[...]
[51]
웹사이트
PALASM
http://www.brouhaha.[...]
[52]
웹사이트
Ruby HDL
http://web.comlab.ox[...]
[53]
웹사이트
RHDL
http://rhdl.rubyforg[...]
본 사이트는 AI가 위키백과와 뉴스 기사,정부 간행물,학술 논문등을 바탕으로 정보를 가공하여 제공하는 백과사전형 서비스입니다.
모든 문서는 AI에 의해 자동 생성되며, CC BY-SA 4.0 라이선스에 따라 이용할 수 있습니다.
하지만, 위키백과나 뉴스 기사 자체에 오류, 부정확한 정보, 또는 가짜 뉴스가 포함될 수 있으며, AI는 이러한 내용을 완벽하게 걸러내지 못할 수 있습니다.
따라서 제공되는 정보에 일부 오류나 편향이 있을 수 있으므로, 중요한 정보는 반드시 다른 출처를 통해 교차 검증하시기 바랍니다.
문의하기 : help@durumis.com