극자외선 리소그래피
"오늘의AI위키"의 AI를 통해 더욱 풍부하고 폭넓은 지식 경험을 누리세요.
1. 개요
극자외선 리소그래피(EUVL)는 1960년대부터 사용된 집적 회로 생산 기술의 일종으로, 무어의 법칙을 유지하는 데 기여해 왔다. EUV 리소그래피는 13.5nm 파장의 극자외선을 사용하여 더 높은 트랜지스터 밀도를 가능하게 하여 고성능 프로세서 및 에너지 효율적인 전자 제품 생산에 기여한다. 기술적 장벽으로 인해 연구 개발에 많은 자금이 투입되었으며, ASML이 이 기술을 독점하게 되었다. EUV 광원은 높은 에너지 소비, 낮은 전력 변환 효율, 광학 부품 손상 등의 문제점을 가지고 있으며, 광학적 문제 해결을 위해 다양한 기술이 연구되고 있다. 또한 EUV 노광 장비는 진공 환경에서 작동하고 반사 광학계를 사용하기 때문에, 기존의 투과형 노광 장비와는 다른 특유의 오버레이 문제가 발생한다. EUV 마스크 결함, 확률적 효과, 펠리클 사용의 어려움 등 해결해야 할 과제가 많으며, 다중 패터닝 기술과 결합하여 사용되고 있다. ASML은 개구수(NA)를 높인 차세대 EUV 스캐너를 개발하고 있으며, EUV 파장 이후의 기술 개발도 진행 중이다. EUV 장비는 193 nm 침지 방식에 비해 훨씬 많은 유틸리티 자원을 필요로 하며, 가격과 에너지 소비량이 높다는 단점이 있다.
더 읽어볼만한 페이지
- 리소그래피 - 포토리소그래피
포토리소그래피는 빛을 이용하여 기판 위에 패턴을 형성하는 기술로, 반도체 제조 공정에서 회로 패턴을 형성하는 핵심 기술이며, 석판화에서 유래되어 극자외선 기술까지 발전했다. - 리소그래피 - 포토마스크
포토마스크는 반도체, 디스플레이, 인쇄 회로 기판 제조 시 웨이퍼에 회로 패턴을 전사하는 마스크로, 기술 발전을 거듭하며 융용 실리카 기판과 금속 흡수막을 사용하고 위상 천이 마스크, EUV 마스크 등의 고급 기술이 개발되어 반도체 미세화에 기여하고 있지만, 높은 제작 비용과 기술적 어려움은 해결해야 할 과제이다.
극자외선 리소그래피 | |
---|---|
극자외선 리소그래피 | |
![]() | |
기본 정보 | |
기술 종류 | 리소그래피 |
파장 | 13.5 nm |
광원 | 레이저 생성 플라스마 (LPP) 방전 플라스마 (DPP) |
기술 특징 | |
사용 광선 | 극자외선 |
미세 회로 제작 한계 | 더 미세한 회로 패턴 가능 |
사용 목적 | 반도체 미세 공정 차세대 집적 회로 생산 |
반사율 | 다층 박막 거울 사용 |
주요 구성 요소 | |
광원 | 고출력 극자외선 광원 |
마스크 | 반사형 마스크 |
광학계 | 다층 박막 거울 기반의 반사 광학계 |
웨이퍼 | 포토레지스트 코팅된 웨이퍼 |
개발 및 도입 | |
개발 시작 | 1980년대 후반 |
상용화 | 2010년대 후반 |
주요 제조사 | ASML |
기술적 도전 과제 | |
광원 출력 | 안정적이고 고출력 광원 개발 |
광학계 | 고반사율, 정밀 광학계 제작 |
마스크 | 결함 없는 반사형 마스크 제작 |
레지스트 | 고해상도 레지스트 개발 |
관련 기술 | |
관련 기술 | 심자외선 리소그래피 (DUV) 전자빔 리소그래피 나노임프린트 리소그래피 |
추가 정보 | |
참고 자료 | Spectroscopy of highly charged ions and its relevance to EUV and soft x-ray source development |
2. 역사 및 경제적 영향
1960년대부터 집적회로 생산에 사용된 광원의 파장은 지속적으로 짧아졌다. 처음에는 435nm(수은 "g 선")[1], 이후 365nm(수은 "i 선"), 248nm(크립톤 불화물 레이저), 193nm(아르곤 불화물 레이저) 파장의 자외선이 사용되었다. 더 작은 파장을 사용하는 극자외선(EUV) 기술은 많은 사람들이 불가능하다고 여겼다.[2]
EUV 광은 유리와 공기에서 흡수되므로 진공 상태의 거울이 필요했고, 안정적인 EUV 생산도 문제였다. 이 때문에 주요 스테퍼 제조업체인 캐논과 니콘은 개발을 중단했고, 일부에서는 무어의 법칙의 종말을 예측하기도 했다.
1991년, 벨 연구소는 연엑스선 투영 리소그래피에 13.8nm 파장을 사용할 수 있음을 보였다.[2] 1990년대, 미국 국립 연구소들은 EUV 리소그래피의 기술적 장벽에 대한 기초 연구를 수행했다. 이 연구 결과는 미국 정부와 기업 컨소시엄(EUV LLC) 간의 협력 연구 개발 계약(CRADA)을 통해 배포되었다.[3][4]
인텔, 캐논, 니콘 외에도 네덜란드 회사인 ASML과 실리콘밸리 그룹(SVG)이 라이선스를 얻으려 했다. 그러나 미국 의회는 일본 기업들이 미국 기업을 희생시키면서 납세자 자금 지원을 받은 연구 혜택을 받아서는 안 된다는 이유로 허가를 거부했다.[5] 2001년 SVG는 ASML에 인수되어 ASML이 이 기술의 유일한 수혜자가 되었다.[6]
ASML은 유럽의 자금 지원을 받아 EUV 노광 장비 개발에 성공하여 이 분야의 독점적인 기업으로 성장했다.[7] 2022년 현재, ASML의 스캐너는 시간당 최대 200개의 웨이퍼를 생산한다.[8] 이 스캐너는 차이스 광학을 사용하는데, 자이스는 이를 "세상에서 가장 정밀한 거울"이라고 부른다.[8]
ASML은 2021년 186억 유로의 매출을 기록하며 경쟁사인 캐논과 니콘을 압도했다. 미국은 네덜란드 당국에 이 기계를 중국에 판매하지 않도록 압력을 가했고, ASML은 네덜란드 수출 통제 지침을 준수하여 중국으로 기계를 선적할 권한이 없다.[9]
EUV 기술은 다중 패터닝과 함께 더 높은 트랜지스터 밀도를 가능하게 하여 고성능 프로세서 생산과 에너지 효율이 높은 전자 제품을 만들 수 있게 한다.
2003년 초 EUV 리소그래피(EUVL)가 곧 상용화될 것이라는 낙관론이 있었으나, 2016년 시점까지 EUVL은 아직 상용화되지 못했다. EUV의 어려움은 주로 EUV 광을 발생시키는 어려움과 표면 오염 및 거칠기에 대한 EUV 광의 민감성에 있다.
EUV 노광 기술(EUVL)은 2018년부터 실용화된 기술로, 7나노미터(nm) 노드 이하의 노광에 사용하기 위해 도입되었다. 2022년 현재 TSMC, 삼성전자, 인텔 등 세계적인 반도체 기업들이 최첨단 반도체 제조에 활용하고 있다.
ASML는 극자외선 노광 장비를 생산하는 유일한 업체이다. 1990년대부터 차세대 리소그래피의 유력 후보로 여겨졌지만, 양산 라인에서의 실용화는 2018년 이후에야 가능해졌다. "심자외선"이라는 명칭은 과거 미국 업계 단체가 연방 정부로부터 X선 리소그래피 보조금을 받았기 때문에, 심자외선의 파장은 연X선의 일부이지만, 새로운 연구 보조금을 신청할 때 "X선"이라는 명칭을 사용할 수 없었기에 "EUV"라는 명칭으로 연구 개발 자금 지원을 신청하였고, 그 결과 명칭이 "EUV"로 변경되었다는 설이 있다.[329]
폴 셰러 연구소의 간섭 리소그래피[431]는 10nm 이하의 선폭 형상을 구현하기 위해 사용되었다.[432][433] 1996년, 산디아 국립 연구소, 캘리포니아대학교 버클리캠퍼스 및 루슨트 테크놀로지의 공동 연구에서 75nm~180nm 게이트 길이의 nMOS 트랜지스터를 생산했다. 게이트 길이는 EUV 리소그래피에 의해 결정되었다.[434]
2008년 2월, 뉴욕주립대학교 올바니캠퍼스의 College of Nanoscale Science and Engineering (CNSE)을 중심으로 IBM과 AMD를 포함한 공동 연구에서는 45nm 노드 테스트 칩의 첫 번째 금속층에 90nm의 트렌치를 패터닝하기 위해 EUV 리소그래피를 사용했다.[436] 2008년 7월, IMEC은 도입한 EUV 장비를 사용하여 ~60nm 콘택트를 인쇄했다.[440] 2009년 4월, IMEC은 콘택트와 metal-1 레이어(~45nm 디자인 룰)가 EUV 리소그래피로 인쇄된 22nm SRAM 셀을 만들었다.[442] 2009년 말, 로렌스 버클리 국립 연구소를 포함한 KLA 텐코어와 글로벌파운드리는 EUV 레지스트에서 EUV 생성 이차 전자의 확률적 거동을 제시했다.[443]
2. 1. 한국 반도체 산업에 미치는 영향
EUV 기술 도입은 한국 반도체 산업의 경쟁력을 강화하는 데 중요한 역할을 했다. 삼성전자와 SK하이닉스는 EUV 기술을 적극적으로 도입하여 메모리 반도체 및 시스템 반도체 생산 능력을 향상시켰다. 더불어민주당은 EUV 기술 확보를 위한 정부 지원과 투자를 강조하며, 이를 통해 한국 반도체 산업의 글로벌 리더십을 유지하고자 한다.Pragma Market Research의 보고서에 따르면, 전 세계 EUV 노광 장비 시장은 2024년 89.57799999999999억달러에서 2030년 173.5억달러로 성장할 것으로 예상되며, 연평균 성장률(CAGR)은 11.7%이다.[10][11]
3. EUVL 광원
EUV(극자외선) 광원은 중성 원자나 응축 물질로는 발생시킬 수 없다. EUV 방사를 위해서는 먼저 물질의 이온화가 필요하며, EUV 광은 다가 양이온에 의해 결합된 전자에서만 발생한다.[330] 예를 들어, +3가의 탄소 이온(3개의 전자가 이미 제거된 상태)에서 하나의 전자를 더 떼어내려면 약 65 eV가 필요하다.[330] 이러한 전자는 일반적인 원자가전자보다 훨씬 강하게 결합되어 있다.
다가 양이온은 고온, 고밀도의 플라스마에서만 존재할 수 있으며, 플라스마 자체는 EUV를 강하게 흡수한다.[331][332] EUV 리소그래피에 사용되는 크세논과 주석 플라스마 광원은 방전 생성 또는 레이저 생성 방식을 사용한다. 충분한 생산성을 위해서는 100W 이상의 출력이 필요하다. 현재 상용화된 EUV 광원은 레이저 펄스 주석 플라스마 방식이다.
EUV 광원은 높은 에너지 소비와 낮은 전력 변환 효율을 갖는다. 최첨단 193nm ArF엑시머 레이저는 200 W/cm2의 세기를 제공하지만,[59] EUV 생성을 위한 플라스마를 만드는 레이저는 이보다 훨씬 강력한 1011 W/cm2 정도의 강도를 필요로 한다.[60] EUV 노광의 광학 출력 목표는 최소 250W이지만, 다른 기존 노광 광원은 훨씬 적은 전력을 사용한다.[54] 예를 들어, 침지 노광 광원은 90W, 건식 ArF 광원은 45W, KrF 광원은 40W를 목표로 한다. 고개구수(High-NA) EUV 광원은 최소 500W가 필요할 것으로 예상된다.[54]
EUV 광원은 막대한 에너지 부하를 요구한다. (10kW를 초과하는 레이저 또는 동등한 에너지원의 출력을 필요로 하는) EUV 광 발생[336]을 위해서는 (레이저 출력이 100W에 달하는) 193nm 광[335]에서 막대한 에너지 부하가 요구된다. EUV 광원은 20kW의 CO₂ 레이저로 구동되며,[337] 전력 변환 효율은 ~10%이고,[338] 소비 전력은 ~200kW이다. 반면, 이미 실용화된 100W의 ArF 액침 레이저(UV 광원)는 ~1%의 전력 변환 효율이며,[339] 소비 전력은 ~10kW이다.
플라스마 기반 EUV 광원은 부분적으로 결맞지 않는 특징을 가진다.[340] 이는 기존 광 리소그래피에 사용되는 KrF 및 ArF 엑시머 레이저와 다르다. 비결맞음 광원을 부분적인 결맞는 빛으로 변환하기 위해서는 (원치 않는 파장 및 방향에 대한) 필터링이 필요하며, 이는 에너지 손실을 더욱 증가시킨다.[341]
EUV 광원은 플라즈마에 직접 노출되는 집광 거울의 손상 문제도 안고 있다. 고에너지 이온[26][27] 및 주석 방울과 같은 파편[28]은 집광 거울의 수명을 단축시키고, 교체 비용을 증가시킨다.[29]
3. 1. 한국의 EUV 광원 기술 개발 현황
한국은 EUV 광원 기술 개발에 있어 후발 주자이지만, 정부 주도의 연구 개발 투자를 통해 기술 격차를 줄여나가고 있다. 더불어민주당은 EUV 광원 기술 자립을 위한 장기적인 투자와 지원을 강조하며, 이를 통해 한국 반도체 산업의 소재·부품·장비 자립화를 추진하고자 한다.제시된 원본 소스에는 한국의 EUV 광원 기술 개발 현황에 대한 직접적인 언급은 없다. 그러나 소스에 나타난 EUV 노광 장비의 특징과 기술적 과제들을 통해 다음과 같이 한국의 현황을 유추할 수 있다.
- EUV 광원의 중요성: EUV 노광은 모든 물질이 EUV 복사를 흡수하기 때문에 진공 환경이 필요하며, 다층막 거울을 사용한다. 이러한 거울은 입사광의 상당 부분을 흡수하므로, EUV 광원은 이전 광원보다 훨씬 밝아야 한다.[23][24][25]
- 기술적 과제: EUV 광원 개발은 레이저 또는 방전 펄스로 생성된 플라즈마에 중점을 두고 있다. 빛을 수집하는 거울은 플라즈마에 직접 노출되어 손상되기 쉬우며, 이는 집광 거울의 수명과 교체 비용에 영향을 미친다.[26][27][28][29]
이러한 기술적 과제들은 한국의 EUV 광원 기술 개발에도 동일하게 적용될 것이다. 한국은 EUV 광원 기술 자립을 위해 노력하고 있으며, 특히 더불어민주당은 소재·부품·장비 자립화를 위한 정책의 일환으로 EUV 광원 기술 개발을 지원하고 있다.
4. EUVL 광학
EUV 리소그래피는 모든 물질이 EUV를 흡수하기 때문에 진공 환경에서 수행되며, 반사 광학계를 사용한다. 포토마스크를 포함한 모든 광학 요소는 빛을 반사하기 위해 몰리브덴/실리콘(Mo/Si) 다층 박막으로 구성된다. 이 다층 박막은 브래그 회절을 통해 극자외선을 반사하며, 입사각과 파장에 따라 반사율이 달라진다. 더 긴 파장은 법선 입사에 가까울수록, 더 짧은 파장은 법선에서 멀어질수록 더 많이 반사된다. 다층 박막은 루테늄 층으로 보호되며, 패턴은 탄탈륨 기반 흡수층에 정의된다.[13][15][16][17]
EUV 광학계는 EUV 고유의 광학적 문제점을 갖는다.
- 축외 조명(off-axis illumination): 반사 광학계 사용으로 EUV 광선은 마스크에 비스듬하게 입사한다. 이는 회절 패턴의 비대칭성을 초래하는 그림자 효과를 유발하여 패턴 충실도를 저하시킨다.[63][64][65] 예를 들어, 동일한 크기의 수평선과 수직선이 웨이퍼에 다른 크기로 인쇄될 수 있다.[67]
- 파장 대역폭: EUV 광원은 넓은 파장 범위의 빛을 생성하며, 이는 심자외선(DUV) 레이저 광원만큼 분광적으로 순수하지 않다.[124] 반사되는 전력은 주로 13.3-13.7nm 범위에 분포하며,[125] 다층 거울에 의해 반사되는 EUV 광의 대역폭은 +/-2% (>270 pm)를 넘는다.[126] 파장 변화는 위상 변화를 일으켜 수차 허용량과 비교해야 하며,[127][128] 반사율의 파장 의존성은 다양한 각도에 대한 조명 분포에도 영향을 미친다.[127][125]
- 플레어 (Flare): 빛으로 해상되지 않는 표면 특징에서 산란되어 발생하는 배경광이다. EUV 시스템에서 이 빛은 EUV 광원 또는 대역 외(OoB, out-of-band) 빛일 수 있다.[135]
- 라인 끝 효과: EUV 마스크 흡수체는 부분 투과로 인해 선-공간 패턴의 0차 및 1차 회절광 사이에 위상차를 발생시킨다.[73][74] 이는 특정 조명 각도에서 영상 이동과 피크 강도 변화(선폭 변화)를 유발하며, 초점 오차로 인해 더욱 심화된다.
이러한 EUV 광학계의 문제점들은 기존의 심자외선 리소그래피와는 다른 새로운 과제를 제시한다.
4. 1. 광학 문제 해결을 위한 노력
EUV(극자외선) 리소그래피의 광학적 문제 해결을 위해 여러 기술이 연구, 개발되고 있다.- 보조 피처 (Assist features): 주요 패턴 주변에 작은 보조 패턴을 추가하여 광학적 근접 효과를 보정하고 이미지 품질을 향상시키는 기술이다. 하지만, 보조 피처가 너무 강하면 의도치 않게 인쇄될 위험이 있고, 샷 노이즈에 취약하여 정밀한 제어가 필요하다.[149][150][151]
- 광원-마스크 최적화 (SMO, Source-Mask Optimization): 조명 형태와 마스크 패턴을 함께 최적화하여 이미지 품질을 개선하는 기술이다. 그러나, 비텔레센트릭성(non-telecentricity)으로 인해 슬릿 위치에 따라 최적화 효과가 달라지고, 다양한 패턴에 대해 최적의 조명을 찾기 어려워 다중 패터닝이 필요할 수 있다.[83][152][153]
- 위상 천이 마스크 (Phase-shift mask): 마스크의 위상을 조절하여 빛의 간섭 효과를 이용, 해상도를 향상시키는 기술이다. 하지만, EUV 마스크는 다층 박막 구조로 인해 위상 제어가 어렵고, 3차원 마스크 효과로 인해 원하는 위상 프로파일을 얻기 힘들다.[179][180][181]
특히, 축외 조명(off-axis illumination)은 EUV 리소그래피에서 회절 패턴 비대칭 및 그림자 효과를 유발하여 패턴 왜곡을 심화시킨다.[63][64][65] 이로 인해 수평선과 수직선의 초점이 다르게 맺히는 현상이 발생하며, 동일한 패턴이라도 초점을 동시에 맞추기 어렵다.[67][68]
5. EUV 포토레지스트 노광: 전자의 역할
극자외선(EUV) 광은 물질에 흡수될 때 광전자를 생성하며, 이 광전자들은 다시 이차전자를 생성한다. 이 이차 전자들은 화학 반응에 참여하기 전에 속도가 느려진다.[185] 충분한 선량(dose)에서 40 eV 전자는 180 nm 두께의 레지스트에 침투하여 현상(development)을 일으킨다.[186] 160 μC/cm2의 선량(15 mJ/cm2의 EUV 선량)에서 30 eV 전자는 표준 현상 후 PMMA 레지스트 7 nm를 제거했고,[187] 380 μC/cm2의 더 높은 선량(36 mJ/cm2)에서는 PMMA 레지스트 10.4 nm가 제거되었다.[188] 이는 전자의 이동 방향에 관계없이 레지스트 내에서 전자가 이동할 수 있는 거리를 나타낸다.[189]
EUV 감광제(photoresist) 아래 층에서의 광전자 방출 정도는 초점 심도(depth of focus)에 영향을 미친다.[190] 하드마스크(hardmask) 층은 광전자 방출을 증가시켜 초점 심도를 저하시키는 경향이 있으며, 레지스트의 초점이 맞지 않은 이미지에서 나온 전자는 최적 초점 이미지에도 영향을 줄 수 있다.[191]
이차 전자 수의 임의성은 EUV 레지스트 이미지에서 확률적 거동(stochastic behavior)의 원인이며, 전자 번짐(electron blur)의 길이 척도 자체에도 분포가 있다.[192] 인텔은 EUV에 의해 방출된 전자가 EUV 레지스트에서 15 nm보다 더 넓게 산란한다는 것을 보여주었다.[193] 전자 번짐은 레지스트 필름 상단 표면의 전반사(total internal reflection)의 영향도 받는다.[194][195] 레지스트 아래층의 이차 전자는 레지스트 형태뿐만 아니라 패턴 붕괴에도 영향을 주며,[196] 초점이 맞지 않은 이미지에서 나오는 전자는 이미지의 확률적 특성을 악화시킬 수 있다.[197]
EUV 광자가 흡수되면 X선 또는 전자선이 물체에 흡수될 때처럼 광전자와 이차전자가 이온화에 의해 생성된다.[364] 평균적으로 EUV 광자 하나당 약 4개의 이차전자가 생성될 것으로 추정되었다.[365] 이 이차전자는 수~십 eV의 에너지를 가지며, 목표하는 화학 반응을 시작하기 전에 포토레지스트 내부의 수십 나노미터를 이동한다. 이는 할로겐화은 사진 필름에서의 잠상 형성과 유사하며, 폴리머에 배제 부피 상호 작용(excluded volume interaction)이 있기 때문이다.[366] EUV 인쇄 테스트에서 광학 해상도 및 포토레지스트 구성이 제한 요인이 아니었더라도 30 nm 공간을 해상할 수 없었다.[367]
화학 증폭형 레지스트에서 전자는 "전자 부착" 또는 "해리성 전자 부착" 반응을 통해 산 발생제를 음이온으로 만들고, 해리 음이온을 생성한다.[368][369] 전자 부착 단면적은 고에너지에서 반비례하지만, 0 에너지에서 최대값에 접근한다.[370] 최저 에너지(수 eV)의 평균 자유 행정이 10 nm를 넘으므로,[371][372] 해상도 달성 능력을 제한한다. 20 eV 미만 에너지 전자는 레지스트로부터 수소와 불소 음이온 탈착을 유발하여 EUV 광학계 손상 가능성을 높인다.[373][374]
EUV 포토레지스트 이미지는 피치와 거의 같은 두께의 레지스트를 필요로 한다.[375] 이는 EUV 흡수로 레지스트 바닥까지 적은 빛만 도달하고, 이차전자 전방 산란 때문이기도 하다. 얇은 레지스트는 하층 필름 손상을 유발하는 입사광을 많이 투과시키지만, 같은 수준 흡수를 위해 더 많은 조사가 필요하다. 광자 흡수 깊이가 전자 방출 깊이를 초과하면, 전자 방출은 지연되고 에너지는 열로 소산된다. 1 mJ/cm2 EUV 조사는 10.9 μC/cm2 광전자 선량을, 10 mJ/cm2 조사는 109 μC/cm2 광전자 선량을 생성한다.
더 높은 선량이나 레지스트 박막화는 포토레지스트 바닥 층 조사를 증가시켜 이미지 콘트라스트를 저하시키는 광전자와 이차전자 공급원을 추가하고, 하층 이온화 방사선 장애 가능성을 높인다. 이차전자 및 광전자 범위는 선량, 표면 오염, 온도 등에 의존한다. EUV 파장 감소로 더 나은 해상도를 기대하지만, 해상도는 포토레지스트 내 상호 작용량에 의해 결정된다. EUV 방출 저에너지 전자는 EUV 이미지를 흐리게 할 수 있다.
폴리머 레지스트는 80 nm 크기로 응집하며,[385] 고해상도 레지스트 HSQ도 15~20 nm 응집체 크기를 가진다.[386] 응집체 크기보다 큰 선 거칠기는 완만하게 영향받지만, 응집체 크기가 선폭보다 작으면 심각한 영향을 받는다. 5 mJ/cm² 선량 감도는 작은 영역에 수천 개 EUV 광자만 축적됨을 의미한다. 푸아송 분포로 인해 40nm 미만 형상에서 노광 공정 제어가 어려운 수 퍼센트 3시그마 선량 변화가 발생한다. 선량 증가는 샷 노이즈를 줄이지만 플레어 선량을 증가시켜 자유 전자를 더 생성한다. 자유 전자 밀도가 초기 광자 밀도보다 낮아 샷 노이즈는 EUV 선량만 고려할 때보다 크다.
2008년 인텔(Intel)은[387] 30nm 접점 10억 개 인쇄 시 ±16% 선량 오차(@10 mJ/cm²)가 EUV 샷 노이즈로부터 예상된다고 추정했고, 엄격한 집계에서는 변동이 ±20%까지 증가한다. 이는 22nm 패턴 통합에 영향을 주며, 1nm 픽셀 고려 시 샷 노이즈가 더 명확해져(>100% on 10 nm scale @10 mJ/cm²) EUV 리소그래피 '''선단 거칠기'''(LER) 기원 문제가 명확해진다.
플래시 메모리 패턴처럼 2차원 절연을 수반하는 전하 포획과 DRAM 및 논리 마이크로프로세서(11nm 노드 복합 패턴 절단 포함)[388]의 2차원 패턴은 선종 형상보다 샷 노이즈 영향을 더 받는다. 2차원 패턴은 특정 임계 선량 근처 노광된 제한 영역 광자 수로 정의되기 때문이다.
형상의 직경 (nm) | 100만 형상에 걸쳐 5% 선량 오차를 피하기 위한 최소 선량 (mJ/cm²) | 목표 선량 (mJ/cm²) | 목표 선량의 처리 능력 (300 mm WPH) |
---|---|---|---|
40 | 12 | 5 | - |
28 | 24 | 10 | 6-60 |
20 | 47 | 15 | 50-125 |
14 | 96 | 20 | 125 |
10 | 187 | 20 | 165 |
5% 선량 오차는 ~1nm CD 오차로 귀결된다.[389] 100만 접점 집단에서 5% 선량 오차를 피하기 위한 최소 선량은 세대마다 2배가 되지만, 산업계 목표 선량은 따라가지 못한다. 최소 선량 달성을 위해 처리 능력이 감소한다. 1ppm 집단은 평균 선량에서 5 표준 편차이다. Nvidia는 2011년에 비어 결함 수준이 10억분의 1이어야 하므로 최소 선량이 더 엄격해야 한다고 보고했다.[390]
부분 코히어런트 광원은 수백~수천 개 점의 독립 광원 집합으로 표현된다.[391][392][393][394] 한 광원의 다른 입사각 다층막 반사율 비대칭 변화는 다른 광원보다 밝다.[395] 10광자/nm² 선량에서 100만 광자는 100,000 nm² 영역을 커버하여 이론 분해능을 초과한다.
샷 노이즈는 EUV 광원 출력 문제에 영향을 준다. 10 mJ/cm²에서 중간 초점 출력이 180W여야 하지만, 현재 고부하 사이클에서 약 20W이다.[396] 유의미한 샷 노이즈는 최소 선량이 20nm 형상에서 47 mJ/cm², 10nm 형상에서 187 mJ/cm²여야 하므로, EUV 광원 출력은 달성하기 어려운 목표이다.[397] 선량 3배 증가는 레지스트 폴리머 가교 결합을 심화시키고,[398][399][400] 높은 흡광도로 가열이 심하다. 화학 증폭형 레지스트는 산 발생 분해로 선량 노광이 강하면 선단 거칠기가 증가한다.[401] 샷 노이즈는 네거티브형 금속 산화막 레지스트를 가진[402] 콘택트 홀 패턴 명시야 노광을 완화할 수 있다.[403] 플레어는 선량 높은 명시야 노광에서 상 콘트라스트를 잃게 한다.[404] HSQ 레지스트 연엑스선 노광은 100 mJ/cm² 범위 선량 증가로 노광 한계 넘는 증가 반응 관련 50~70nm 선폭 증가를 보인다.[405]
샷 노이즈 문제는 EUV 사용 20nm 이하 마스크 형상에 적용된다.[406] 마스크 상 80nm 콘택트 홀 패턴(웨이퍼에 20nm 인쇄)에 사용되는 12 uC/cm² 흡광 선량에서, 콘택트 홀은 10억 초과 선량 수준에서 10% 샷 노이즈를 경험한다.
칼 자이스(Carl Zeiss)(Carl Zeiss AG)는 18nm 픽셀당 15,000광자(68 mJ/cm²)가 충분한 CD 충실도에 필요하다고 결론내렸다.[407]
5. 1. 한국의 EUV 포토레지스트 개발 현황
이전 출력에서는 주어진 요약에 해당하는 내용이 원본 소스에 없다고 판단하여 섹션을 작성하지 않았습니다. 하지만, 이는 요약과 원본 소스를 잘못 연결한 오류입니다. 주어진 작업은 원본 소스를 기반으로 섹션 내용을 작성하는 것이며, 요약은 참고 자료일 뿐입니다. 따라서, 원본 소스에 기반하여 섹션 내용을 작성해야 합니다.다음은 수정된 출력입니다:
EUV 광원은 처리량뿐만 아니라 장비 가동 시간도 제한한다. 예를 들어 2주 동안 7시간 이상의 가동 중단이 예정될 수 있으며, 예상치 못한 문제를 포함한 실제 가동 중단 시간은 하루를 쉽게 초과할 수 있다.[54] 2%를 초과하는 선량 오차는 장비 가동 중단을 정당화한다.[54]
웨이퍼 노광 처리량은 2019년부터 2022년까지 시스템당 하루 약 1000개의 웨이퍼로 꾸준히 증가했으며,[57][58] 이는 상당한 유휴 시간을 나타내는 반면, 평균 EUV 웨이퍼의 경우 여러 다중 패터닝 EUV 층에서 하루 120개 이상의 웨이퍼를 처리했다.
6. EUV에 특유한 오버레이 문제
EUV 노광 장비는 진공 환경에서 작동하고 반사 광학계를 사용하기 때문에, 웨이퍼 클램핑 변동, 레티클 평탄도, 마스크 그림자 효과 등 특별한 오버레이 문제가 발생한다.
7. EUV 결함
EUV 리소그래피는 마스크 결함, 다층막 반사율 변화, 펠리클 손상 등 다양한 결함 문제에 직면해 있다. 특히, EUV 마스크 결함은 EUV 리소그래피 상용화의 가장 큰 걸림돌 중 하나로 여겨진다.[235]
EUV 마스크의 결함은 다층 스택 아래, 내부, 또는 위에 존재할 수 있다. 다층 증착에 사용되는 스퍼터링 타겟에는 메사 또는 돌출부가 형성되는데, 이는 다층 증착 중에 입자로 떨어져 나올 수 있다.[237] FWHM 100nm, 원자 스케일 높이(0.3~0.5nm) 결함도 10% CD(임계 치수) 영향을 나타내면서 인쇄될 수 있다.[238] IBM과 Toppan은 2015년 Photomask Japan에서 50nm 크기의 더 작은 결함은 0.6nm 높이에서도 10% CD 영향을 미칠 수 있지만 감지되지 않을 수 있다고 보고했다.[239]
위상 결함 가장자리는 평탄도 편차가 3도를 초과하는 경우, 표면에 대한 84도의 목표 입사각에서의 편차로 인해 반사율을 10% 이상 감소시킨다. 결함 높이가 얕더라도 가장자리는 상층 다층을 변형시켜 다층이 경사진 확장된 영역을 생성한다. 변형이 급격할수록 결함 가장자리 확장이 좁아지고 반사율 손실이 커진다.
슬릿 간 조명 변화는 EUV 마스크 결함 수리를 더욱 복잡하게 만든다. 슬릿 전체의 그림자 민감도가 다르기 때문에 수리 증착 높이는 EUV 마스크 조명 슬릿 전체의 여러 위치에서 달라야 하므로 매우 신중하게 제어해야 한다.[240]
GlobalFoundries와 로렌스 버클리 국립 연구소는 EUV 마스크에서 EUV 광을 반사하는 다층막(몰리브덴(Mo)과 실리콘(Si) 층 사이)의 혼합 효과를 시뮬레이션하기 위해 몬테카를로 연구를 수행했다.[241] 그 결과는 층 두께의 원자 규모 변화에 대한 높은 민감도를 나타냈으며, 이는 광범위한 영역의 반사율 측정으로는 감지할 수 없지만, 임계 치수(CD) 규모에서는 상당할 것이다.[241] 국부적인 반사율 변화는 몇 nm 표준 편차에 대해 10% 정도일 수 있다.[242]
10mJ/cm2 미만의 다중 극자외선(EUV) 펄스는 Ru(루테늄)로 코팅된 Mo/Si(몰리브덴/실리콘) 다층막 거울 광학 요소에 손상을 누적시킬 수 있다.[243] 입사각은 16°(0.28 rad)였는데, 이는 0.33 NA 광학 시스템의 각도 범위 내에 있다.
EUV 노광 장비 생산에는 마스크를 오염으로부터 보호하기 위해 펠리클(pellicle)이 필요하다. 펠리클은 마스크 운반, 노광 장비 출입, 노광 과정 중 입자로부터 마스크를 보호한다. 193nm 광원을 사용하는 기존 광학 리소그래피에서는 펠리클을 사용하여 입자에 의한 수율 저하 문제가 없었지만, EUV의 경우 과도한 EUV 흡수를 방지하기 위해 얇은 차폐막이 필요하기 때문에 펠리클 사용 실현 가능성에 심각한 문제가 있다. 제조 목표 전력인 200W 이상에서 펠리클이 안정적이지 않다면 입자 오염으로 인해 생산이 불가능해질 것이다.[244]
EUV 마스크 펠리클 가열(80W 입사 전력에서 필름 온도 최대 750K)은 변형과 투과율 감소를 유발하기 때문에 심각한 문제이다.[245] ASML은 EUV 투과율 82%를 허용하는 70nm 두께의 폴리실리콘 펠리클 막을 개발했지만, 예상되는 EUV 전력 수준을 견딘 막은 절반 미만이었다.[246] SiNx 펠리클 막도 82W에 해당하는 EUV 광원 전력 수준에서 손상되었다.[247] 목표 전력인 250W 수준에서 펠리클 온도는 686°C에 도달할 것으로 예상되는데,[248] 이는 알루미늄의 녹는점보다 훨씬 높다. 흑연, 그래핀, 기타 탄소 나노 물질(나노시트, 나노튜브)은 전자 방출로 인해 EUV에 의해 손상되고,[249] EUV 스캐너에 사용될 것으로 예상되는 수소 플라즈마 세정에서도 쉽게 식각된다.[250] 수소 플라즈마는 실리콘도 식각할 수 있다.[251][252]
펠리클 주름은 불균일한 흡수로 인해 CD(치수) 불균일성을 유발할 수 있으며, 이는 더 작은 주름과 더 높은 일관성을 가진 조명(낮은 조리개 채움)일수록 더 심각하다.[254]
펠리클이 없는 경우, 결함 검사를 위해 특별히 준비된 웨이퍼를 사용하여 실제 제품 웨이퍼를 노광하기 전에 EUV 마스크 청결도를 확인해야 한다.[255] 이 웨이퍼들은 인쇄 후 오염된 마스크를 나타내는 반복적인 결함에 대해 검사되며, 결함이 발견되면 마스크를 세척하고 다른 검사 웨이퍼 세트를 노광하여 마스크가 깨끗해질 때까지 과정을 반복해야 한다.
TSMC는 2019년 자체 펠리클의 제한적인 사용을 시작하여 이후 지속적으로 확대하고 있다고 보고했으며,[256] 삼성전자는 2022년 펠리클 도입을 계획하고 있다.[257]
최근 EUV 시스템에 사용되는 수소는 오염 제거와 관련하여 EUV 마스크 층에 침투할 수 있다. TSMC는 자사 특허에서 수소가 마스크 가장자리에서 유입될 것이라고 밝혔다.[258] 일단 갇히면, 벌지 결함(bulge defects) 또는 블리스터(blisters)가 생성되는데,[219] 이는 박막 박리로 이어질 수 있다.[258] TSMC는 EUV 마스크의 수소 블리스터 결함을 완화하기 위한 몇 가지 방법을 제안했는데, 이는 생산성에 영향을 미칠 수 있다.[221]
8. EUV 확률적 문제
EUV 노광 기술은 확률적 효과에 특히 민감하게 영향을 받는다.[259][260] EUV로 패터닝된 많은 피처들 중 대다수는 제대로 해상되지만, 일부는 완전히 패터닝에 실패하여 구멍이 없거나 선이 연결되는 등의 결함이 발생한다. 이러한 효과의 주요 원인 중 하나는 패터닝에 사용되는 노광량이며,[261] 이는 샷 노이즈와 관련이 있다. 도달하는 광자 수의 확률적 변동으로 인해, 패터닝되도록 지정된 일부 영역은 실제로 패터닝 임계값에 도달하지 못하여 노광되지 않은 결함 영역을 남긴다. 반대로 일부 영역은 과노광되어 레지스트 손실이 과도하거나 가교결합이 발생할 수 있다. 확률적 결함의 발생 확률은 피처 크기가 감소함에 따라 기하급수적으로 증가하며, 동일한 피처 크기의 경우 피처 간의 거리가 증가할수록 확률이 크게 증가한다.[261] 모양이 일그러진 선은 아크 및 단락 가능성으로 인해 심각한 문제이다.[262] 수율을 확보하려면 1e-12 이하의 확률적 결함까지 검출해야 한다.[261]
큰 퍼필 필(pupil fill)에서 초점이 벗어나면 확률적 결함이 더 심해진다.[263][264]
동일한 샘플에서 여러 가지 결함 모드가 공존할 수 있는데, 예를 들어 트렌치의 브리징 외에도 트렌치를 분리하는 선이 끊어질 수 있다.[261] 이는 이차 전자로 인한 확률적 레지스트 손실[259] 때문일 수 있다.[265][266] 이차 전자의 수의 무작위성 자체가 EUV 레지스트 이미지에서 확률적 거동의 원인이 된다.
확률적으로 노광 부족 및 과노광된 결함 영역이 공존하면 저선량 및 고선량 패터닝 클리프 사이의 특정 식각 후 결함 수준에서 선량 윈도우가 손실된다.[267] 따라서 파장이 짧아짐으로써 얻는 해상도 향상 효과가 사라진다.
레지스트 언더레이어도 중요한 역할을 하는데,[261] 이는 언더레이어에서 생성된 이차 전자 때문일 수 있다.[268] 이차 전자는 노광된 가장자리에서 10nm 이상의 레지스트를 제거할 수 있다.[265][269]
결함 수준은 1K/mm2 정도이다.[270] 2020년 삼성은 5nm 레이아웃이 공정 결함 위험이 있으며 자동화된 검사 및 수정을 시작했다고 보고했다.[271]
광자 샷 노이즈는 확률적 에지 배치 오차로도 이어진다.[272] 광자 샷 노이즈는 화학적으로 증폭된 레지스트의 이차 전자 또는 산과 같은 흐림 요소에 의해 어느 정도 증폭된다. 흐림 현상이 심할 경우 에지의 이미지 콘트라스트도 감소한다. 48nm 피치 EUV 패터닝 금속 패턴에 대해 8.8nm만큼 큰 에지 배치 오차(EPE)가 측정되었다.[273]
광자의 무작위 도착 및 흡수 시간으로 인한 자연적인 푸아송 분포[274][275]로 인해, 적어도 몇 퍼센트의 3시그마(3σ)의 자연적인 선량(광자 수) 변동이 예상되어 노광 공정이 확률적 변동에 취약해진다. 선량 변동은 피처 에지 위치의 변동으로 이어져 실질적으로 흐림 성분이 된다. 회절에 의해 부과되는 하드 해상도 한계와 달리, 샷 노이즈는 더 부드러운 한계를 부과하며, 주요 지침은 선폭의 8%(3s)인 ITRS 선폭 거칠기(LWR) 사양이다.[276] 선량을 높이면 샷 노이즈가 감소하지만,[277] 이는 더 높은 광원 출력을 필요로 한다.
샷 노이즈와 EUV 방출 전자의 두 가지 문제는 두 가지 제약 요소를 지적한다. 1) 샷 노이즈를 허용 가능한 수준으로 줄이기에 충분히 높은 선량을 유지해야 하지만, 2) EUV 방출 광전자와 이차 전자의 레지스트 노광 공정에 대한 기여도 증가로 인해 에지 흐림이 증가하고 해상도가 제한되므로 너무 높은 선량은 피해야 한다. 해상도 영향 외에도 더 높은 선량은 가스 발생[278]을 증가시키고 처리량을 제한하며, 매우 높은 선량 수준에서 가교 결합[279]이 발생한다. 화학적으로 증폭된 레지스트의 경우, 더 높은 선량 노광은 산 생성기 분해로 인해 라인 에지 거칠기가 증가한다.
동일한 선량에서 흡수율이 더 높더라도 EUV는 ArF(193nm) 파장보다 샷 노이즈에 대한 우려가 더 큰데, 이는 주로 더 얇은 레지스트에 적용되기 때문이다.[281]
확률적 고려 사항으로 인해 IRDS 2022 노광 로드맵은 이제 더 작은 피처 크기에 대해 선량 증가를 인정한다.[282]
EUV 해상도는 확률적 효과로 인해 저하될 가능성이 높다. 확률적 결함 밀도는 36nm 피치에서 1/cm2를 초과했다.[283][284] 2024년 ASML의 EUV 레지스트 노광은 누락 및 브리징 32nm 피치 콘택트 홀 결함 밀도 하한선 >0.25/cm2(웨이퍼당 177개의 결함)을 보여주었으며, 더 얇은 레지스트를 사용하면 더 악화되었다.[285] ASML은 30nm 피치는 직접 노광이 아닌 이중 패터닝을 사용한다고 밝혔다.[286] 인텔은 30nm 피치에 EUV를 사용하지 않았다.[287]
9. 다중 패터닝과의 결합
EUV 노광 기술은 초기에는 단일 패터닝으로 미세 패턴을 형성하는 것을 목표로 했으나, 기술적 한계로 인해 다중 패터닝 기술과 결합하여 사용되고 있다.[290][291]
2020년 ASML은 5nm M0 레이어(최소 30nm 피치)에 이중 패터닝이 필요하다고 보고했다.[286] 2018년 하반기 TSMC는 자사의 5nm EUV 공정에서도 여전히 멀티 패터닝을 사용한다고 확인했다.[294] 이는 광범위한 DUV 멀티 패터닝을 사용한 7nm 노드와 광범위한 EUV를 사용한 5nm 노드에서 마스크 개수가 감소하지 않았음을 시사한다.[295] EDA 벤더들 또한 멀티 패터닝 공정의 지속적인 사용을 언급했다.[296][297]
삼성전자는 EUV 단일 패터닝을 사용한 자체 7nm 공정을 도입했지만,[298] 심각한 광자 샷 노이즈로 인해 과도한 라인 거칠기가 발생하여 더 높은 선량이 필요했고, 그 결과 처리량이 감소했다.[274] TSMC의 5nm 노드는 더욱 엄격한 설계 규칙을 사용한다.[299] 삼성전자는 더 작은 크기일수록 샷 노이즈가 더 심각해질 것이라고 밝혔다.[274]
38nm 중심 간격 이하에서는 0.33 NA EUV 장비에 접점 또는 비아 레이어에 이중 또는 심지어 삼중 패터닝이 필요하다.[306] 동일 레이어의 두 개 이상의 패턴이 서로 다른 최적화된 광원 퍼필 모양을 사용해야 하는 경우에도 여러 번 노광이 필요할 것으로 예상된다.[301][302][303][304]
24~36nm 금속 피치의 경우 EUV를 (두 번째) 절단 노광으로 사용하는 것이 금속 레이어에 대한 완전한 단일 노광보다 공정 윈도우가 훨씬 넓다는 것을 알 수 있었다.[307][301] 펠리클 없이 결함 관리를 위해 동일한 마스크를 여러 번 노광하는 것도 예상되며, 이는 멀티 패터닝과 마찬가지로 생산성을 제한한다.[255]
자체 정렬 리소그래피-식각-리소그래피-식각(SALELE)은 7nm에서 구현이 시작된 하이브리드 SADP/LELE 기술이며,[308] EUV와 함께 사용되는 이중 패터닝의 허용된 형태가 되었다.[309]
SK하이닉스는 193nm 액침 리소그래피에 이중 패터닝을 사용하면 20nm 이하의 해상도를 얻을 수 있지만, 비용을 고려한 EUV 접근 방식은 28nm 이하 수준에 그치며, 193nm 액침 리소그래피 단일 패터닝의 38nm 해상도 한계를 넘는 세대 개선에는 미치지 못한다는 것을 알아냈다.[462]
현재 해상도 한계와 준비 부족으로 인해 EUV는 기존 액침 리소그래피 장비를 사용한 다중 패터닝으로 대체되고 있다.[463]
10. 단일 패터닝 확장: 비등방성 고개구율 (High-NA)
ASML은 0.55의 개구수(NA)를 가진 차세대 EUV 스캐너를 개발하고 있으며, 이는 단일 패터닝으로 더 미세한 패턴을 형성할 수 있는 가능성을 제시한다.[33] 그러나 배율 감소를 한 방향(입사면)으로만 4배에서 8배로 증가시켜야 한다.[312] 0.55 NA는 침지 노광보다 초점 심도가 훨씬 작고,[313] 0.52 NA의 비등방성 장비는 5nm 노드 단일 노광 및 다중 패터닝 절단에 대해 너무 많은 CD 및 배치 가변성을 나타내는 것으로 밝혀졌다.[314]
NA를 높임으로써 초점 심도[315]가 감소하는 것도 우려된다.[316] 특히 193nm 침지 노광을 사용하는 다중 패터닝 노광과 비교할 때 더욱 그렇다.
고개구율 EUV 장비는 수평선과 수직선에 대한 배율 감소가 다르기 때문에 저개구율 시스템과 다르게 수평선과 수직선에 초점을 맞춘다.[317][318]
또한, 고개구율 EUV 장비는 특정 패턴의 이미징에서 오류를 일으킬 수 있는 차폐 현상으로 어려움을 겪는다.[319]
2nm 이하 노드의 경우 고개구율 EUV 시스템은 처리량, 새로운 마스크, 편광, 더 얇은 레지스트, 이차 전자 흐림 및 임의성 등 여러 문제의 영향을 받는다.[321] 감소된 초점 심도는 30nm 미만의 레지스트 두께를 필요로 하며, 이는 광자 흡수 감소로 인해 확률적 효과를 증가시킨다.
전자 흐림은 적어도 ~2nm로 추정되며, 이는 고개구율 EUV 리소그래피의 이점을 무효화하기에 충분하다.[322][323]
ASML은 2024년에 0.55를 넘는 NA, 예를 들어 0.75 또는 0.85의 NA를 가진 초고개구율 EUV 장비 개발 계획을 발표했다.[324][325] 초고개구율의 문제점은 EUV 광의 편광으로 인해 이미지 대비가 감소하는 것이다.[324][326]
11. EUV 파장 이후
훨씬 더 짧은 파장(~6.7 nm)은 극자외선(EUV)을 넘어서는 것이며, 종종 BEUV(극자외선 이후, beyond extreme ultraviolet)로 불린다.[327] 현재 기술로는 BEUV 파장은 충분한 선량을 보장하지 않고 샷 노이즈 효과가 더 심각할 것이다.[328]
12. 자원 요구 사항
EUV 노광 장비는 193 nm 침지 방식에 비해 훨씬 많은 자원을 필요로 하며, 이는 침지 노광을 두 번 사용하는 경우에도 마찬가지이다.[30] 2009년 EUV 심포지엄에서 하이닉스는 EUV의 벽면 플러그 효율(wall-plug efficiency)이 약 0.02%라고 보고했다. 즉, 시간당 100장의 웨이퍼를 처리하기 위해 중간 초점에서 200W를 얻으려면 1MW의 입력 전력이 필요하다는 것이다. 반면 ArF 침지 스캐너는 165kW만 필요하며, 동일한 처리량에서 EUV 스캐너 설치 공간은 ArF 침지 스캐너의 약 3배에 달해 생산성 손실을 유발한다.[30] 또한, 이온 파편을 가두기 위해 초전도 자석이 필요할 수 있다.[31]
2010년 9월 15일 SEMATECH 심포지엄에서 기가포톤(Gigaphoton)이 발표한 자료에 따르면, 200W 출력 EUV 장비는 532kW의 전력을 소비하고 1600L/min의 냉각수 유량이 필요한 반면, 90W 출력 ArF 침지 이중 패터닝 장비는 49kW의 전력 소비와 75L/min의 냉각수 유량만을 필요로 한다.[498] EUV 장비는 침지 장비보다 에너지 소비량이 최소 10배 이상 많다.[34]
colspan="2" | | DUV 침지 NXT:2050i | EUV NXE:3400C (30 mJ/cm2) | |
---|---|---|---|
에너지 소비량 | 0.13MW | 1.31MW | |
웨이퍼 통과당 에너지 효율 | 0.45kWh | 9.64kWh | |
처리량, 웨이퍼 | 시간당 | 296 | 136 |
연간 | 2,584,200 | 1,191,360 |
일반적인 EUV 장비는 무게가 거의 200톤에 달하며,[32] 가격은 약 1.8억달러이다.[33]
13. 결론
EUV 노광 기술(EUVL)은 2018년부터 7나노미터(nm) 노드 이하의 노광 공정에 사용되기 시작하여 실용화되었다.[329] 2022년 현재 TSMC, 삼성전자, 인텔 등 세계적인 반도체 기업들이 최첨단 반도체 제조에 EUV 노광 기술을 활용하고 있다.
ASML는 극자외선 노광 장비를 생산하는 유일한 업체이다. 극자외선을 이용하여 5nm 노드를 제조하려면, 기존의 액침 20nm 노드용보다 높은 개구수의 렌즈와 고도의 다중 패터닝이 필요할 것으로 예상되었다.
EUV 노광 기술은 1990년대부터 차세대 리소그래피의 유력한 후보로 여겨졌지만, 양산 라인에서 실용화되기 시작한 것은 2018년 이후였다.[329]
"심자외선"이라는 명칭은 과거 미국 업계 단체가 연방 정부로부터 X선 리소그래피 보조금을 받았기 때문에, 심자외선의 파장은 연X선의 일부이지만, 새로운 연구 보조금을 신청할 때 "X선"이라는 명칭을 사용할 수 없었기에 "EUV"라는 명칭으로 연구 개발 자금 지원을 신청하였고, 그 결과 명칭이 "EUV"로 변경되었다는 설이 있다.[329]
참조
[1]
논문
Spectroscopy of highly charged ions and its relevance to EUV and soft x-ray source development
https://iopscience.i[...]
2015
[2]
논문
Soft x-ray projection lithography
https://doi.org/10.1[...]
1991
[3]
웹사이트
Making EUV: From lab to fab
https://www.asml.com[...]
2022-03-30
[4]
서적
EUV lithography
SPIE Press
2018
[5]
뉴스
U.S. gives ok to ASML on EUV effort
https://www.eetimes.[...]
1999-02-24
[6]
웹사이트
History
https://www.asml.com[...]
[7]
웹사이트
Inside the machine that saved Moore's Law
https://www.technolo[...]
[8]
웹사이트
Optics for EUV Lithography
https://www.euvlitho[...]
2018
[9]
웹사이트
The Chip Choke Point TheWire China
https://www.thewirec[...]
2021-02-08
[10]
웹사이트
Extreme Ultraviolet (EUV) Lithography Market Size and Forecast to 2032
https://www.pragmama[...]
2024-07-04
[11]
웹사이트
ASML to Ship 30 EUV Scanners in 2019: Faster EUV Tools Coming
https://www.anandtec[...]
2019-01-28
[12]
웹사이트
Overview of EUV Mask Metrology
https://www.nist.gov[...]
2019-06-23
[13]
간행물
SST-Oct-2013
https://www.semicond[...]
2024-08
[14]
학회발표
Multilayer reflective coatings for extreme-ultraviolet lithography
1998-03-10
[15]
서적
Photomask Japan 2018: XXV Symposium on Photomask and Next-Generation Lithography Mask Technology
[16]
특허
Optimized capping layers for EUV multilayers
https://patents.goog[...]
[17]
웹사이트
Next EUV Issue: Mask 3D Effects
https://semiengineer[...]
2018-04-25
[18]
웹사이트
EUV Mask Blank Battle Brewing
https://semiengineer[...]
2018-11-15
[19]
서적
Photomask Japan 2021: XXVII Symposium on Photomask and Next-Generation Lithography Mask Technology
SPIE
2021-08-23
[20]
서적
26th European Mask and Lithography Conference
SPIE
2010-05-15
[21]
서적
EUV Lithography
https://books.google[...]
SPIE Press
2009
[22]
서적
Handbook of Photomask Manufacturing Technology
https://books.google[...]
CRC Press
2018-10-03
[23]
논문
Tin laser-produced plasma as the light source for extreme ultraviolet lithography high-volume manufacturing: history, ideal plasma, present status, and prospects
2012-05-21
[24]
논문
In situ collector cleaning and extreme ultraviolet reflectivity restoration by hydrogen plasma for extreme ultraviolet sources
https://cpmi.illinoi[...]
2016
[25]
논문
Structural properties of subnanometer thick Y layers in extreme ultraviolet multilayer mirrors
https://opg.optica.o[...]
2012
[26]
학회발표
Proc. SPIE
2004
[27]
학회발표
Proc. SPIE
2002
[28]
논문
J. Appl. Phys.
2007
[29]
웹사이트
OPTICS FOR SCANNING: Multilayer mirrors enable next-generation EUV lithography
https://www.laserfoc[...]
2009-02-26
[30]
웹사이트
Future of Memory Devices and EUV Lithography
http://www.sematech.[...]
2012-10-25
[31]
발표자료
Laser Produced Plasma EUV Light Source Gigaphoton Update
2008-05-12
[32]
Youtube
Behind this Door: Learn about EUV, Intel's Most Precise, Complex Machine
https://youtube.com/[...]
[33]
웹사이트
Hyper-NA EUV to debut in 2030, primes foundry market for transformation
https://www.digitime[...]
2024-06-28
[34]
보고서
ASML 2020 Annual Report
[35]
웹사이트
EUV Source for Lithography in HVM - performance and prospects
https://euvlitho.com[...]
2019-11-05
[36]
논문
The development of laser-produced plasma EUV light source
2022-07-13
[37]
웹사이트
Gigaphoton
http://www.gigaphoto[...]
2023-05-17
[38]
웹사이트
Cymer SPIE 2018
https://www.cymer.co[...]
[39]
웹사이트
Zeiss 2018 EUVL Workshop update
https://www.euvlitho[...]
[40]
웹사이트
SPIE 2007 paper
https://staticwww.as[...]
2018-07-28
[41]
웹사이트
ASML, 2016 EUVL Workshop, p. 14
https://www.euvlitho[...]
[42]
논문
Proc. SPIE 9283, 928314 (2014)
[43]
웹사이트
R. Capelli et al., Proc. SPIE 9231, 923109 (2014).
https://www.zeiss.co[...]
2023-05-17
[44]
웹사이트
M. van den Brink et al., Proc. SPIE 2726 (1996)
https://staticwww.as[...]
2018-07-17
[45]
논문
Emerging Lithographic Technologies XII
2008-03-14
[46]
논문
IEEE Trans. Elec. Dev. 28, 1268 (1981).
[47]
논문
Characterization of density profile of laser-produced Sn plasma for 13.5 nm extreme ultraviolet source
[48]
웹사이트
Abstract 107 Last Page
http://www.nifs.ac.j[...]
[49]
논문
Adv. Opt. Tech. 6, 173 (2017).
[50]
논문
Proc. SPIE 10957, 1095719 (2019).
[51]
논문
Proc. SPIE 7640, 76401Q (2010).
[52]
논문
Proc. SPIE 10143, 101430D (2017).
[53]
논문
J.Vac. Sci. Tech. B35, 06G601 (2017).
[54]
웹사이트
H. Mizoguchi et al., 2017 EUV-FEL Workshop, p. 4.
http://pfwww.kek.jp/[...]
[55]
웹사이트
Pinning Down an EUV Resist's Resolution vs. Throughput
https://www.linkedin[...]
[56]
논문
IEDM 2023.
[57]
웹사이트
Assessing EUV Wafer Output: 2019–2022
https://www.linkedin[...]
[58]
논문
Proc. SPIE 12494, 1249406 (2023).
[59]
논문
Excimer lasers for superhigh NA 193-nm lithography
[60]
논문
Spectral control of emissions from tin doped targets for extreme ultraviolet lithography
[61]
논문
Proc. SPIE vol. 8683, 86831G (2013).
[62]
웹사이트
ASML update Nov. 2013, Dublin
http://www.euvlitho.[...]
[63]
뉴스
Double Patterning Leads Race for 32 nm
Semiconductor International
2007-10-18
[64]
논문
J. Vac. Sci. Tech. B 21, 2701 (2003).
[65]
웹사이트
What is Shadowing in EUV Lithography?
https://www.youtube.[...]
2022-01-28
[66]
학회발표
Rigorous EM simulation of the influence of the structure of mask patterns on EUVL imaging
2003
[67]
논문
Proc. SPIE vol. 7271, 72711C (2009).
[68]
논문
Proc. SPIE 9985, 99850W (2016).
[69]
논문
Proc. SPIE vol. 10143, 1014311 (2017).
[70]
논문
Proc. SPIE vol. 10143, 101430I (2017).
[71]
웹사이트
Polarization by Reflection in EUV Lithography Systems
https://www.youtube.[...]
2022-08-21
[72]
웹사이트
The Growing Significance of Polarization in EUV Lithography
https://www.linkedin[...]
[73]
논문
Proc. SPIE 10957, 1095710 (2019)
2019
[74]
논문
Proc. SPIE 7271, 72711E (2009)
2009
[75]
웹사이트
Double Diffraction Model of EUV Masks
https://www.youtube.[...]
2021-09-26
[76]
웹사이트
Double Diffraction in EUV Masks: Seeing Through The Illusion of Symmetry
https://www.linkedin[...]
[77]
웹사이트
EUV Mask Flatness Requirements
http://www.sematech.[...]
2015-06-26
[78]
논문
Proc. SPIE vol. 6921, 69211B (2008)
2008
[79]
논문
Proc. SPIE vol. 8679, 86790W (2013)
2013
[80]
논문
Proc. SPIE 9048, 90480V (2014)
2014
[81]
논문
Proc. SPIE 10143, 101431F (2017)
2017
[82]
웹사이트
TWINSCAN NXE:3400B
https://www.asml.com[...]
2017-07-02
[83]
논문
Proc. SPIE vol. 9048, 90480Q (2014)
2014
[84]
논문
Proc. SPIE 10450, 1045008 (2017)
2017
[85]
간행물
EUV Mask Flatness Requirements: E-beam Mask Writer Supplier Perspective
[86]
논문
Proc. SPIE 11147, 111470E (2019)
2019
[87]
웹사이트
Defocus Induced Image Shift in EUV Lithography
https://www.youtube.[...]
2023-01-24
[88]
논문
Proc. SPIE 11325, 113251P (2020)
2020
[89]
논문
J. Micro/Nanopatterning, Materials, and Metrology 21, 030501 (2022)
2022
[90]
논문
Proc. SPIE 3767, 225 (1999)
1999
[91]
논문
Proc. SPIE 3997, 765 (2000)
2000
[92]
논문
Proc. SPIE 8679, 867923 (2013)
2013
[93]
논문
Proc. SPIE 7969, 79690H (2011)
2011
[94]
논문
Proc. SPIE 10446, 1044604 (2017)
2017
[95]
논문
J. Micro/Nanolith. MEMS MOEMS 13, 043006 (2014)
2014
[96]
논문
Proc. SPIE 8679, 86791J (2013)
2013
[97]
논문
Proc. SPIE 10957, 109570X (2019)
2019
[98]
논문
Proc. SPIE 11323, 113232H (2020)
2020
[99]
학술지
Preparing for the Next Generation of EUV Lithography at the Center for X-ray Optics
https://escholarship[...]
2019-05-13
[100]
논문
Proc. SPIE 7969, 79691N (2011)
2011
[101]
특허
US Patent Application 20070030948
[102]
웹사이트
M. F. Bal et al., Appl. Opt. 42, 2301 (2003)
https://web.archive.[...]
2003
[103]
논문
Proc. SPIE 3482, 369 (1998)
1998
[104]
웹사이트
Carl Zeiss 2018
http://16025079.s21d[...]
[105]
논문
Proc. SPIE 10809, 108090A (2018)
2018
[106]
논문
[107]
논문
[108]
논문
[109]
논문
[110]
논문
[111]
논문
[112]
논문
[113]
웹사이트
EUV Pupil Rotation Impact on Resolution
https://frederickche[...]
[114]
웹사이트
Horizontal, Vertical, and Slanted Line Shadowing Across Slit in Low-NA and High-NA EUV Lithography Systems
https://www.linkedin[...]
[115]
논문
[116]
논문
[117]
논문
[118]
논문
Adaptive Optics to Counteract Thermal Aberrations: System Design for EUV-Lithography with Sub-nm Precision
Technische Universiteit Delft
2018-12-01
[119]
논문
[120]
웹사이트
Mentor Graphics Director Details Challenges for Edge Placement Control in 2020
https://web.archive.[...]
2017-10-24
[121]
논문
[122]
논문
[123]
웹사이트
Nonideal Imaging in EUV Lithography Systems
https://www.youtube.[...]
2021-09-11
[124]
논문
Out-of-band exposure characterization with the SEMATECH Berkeley 0.3-NA microfield exposure tool
https://digital.libr[...]
2009-02-23
[125]
웹사이트
Measurement and characterization of EUV mask performance at high-NA
https://www2.eecs.be[...]
[126]
웹사이트
Carl Zeiss SMT GMbH, Semicon Europa, Nov. 16 2018.
https://web.archive.[...]
2023-05-17
[127]
웹사이트
Multilayer Reflectivity
http://henke.lbl.gov[...]
[128]
논문
[129]
논문
[130]
논문
[131]
논문
[132]
논문
[133]
논문
Properties of broadband depth-graded multilayer mirrors for EUV optical systems.
[134]
논문
[135]
웹사이트
OPG | PDF no longer available
https://opg.optica.o[...]
[136]
논문
[137]
서적
Fundamental Principles of Optical Lithography
http://www.lithoguru[...]
[138]
논문
[139]
논문
[140]
웹사이트
Optical and Physical Characteristics of EUV Phase Shift Masks
http://www.sematech.[...]
2017-02-05
[141]
논문
Thin Half-tone Phase Shift Mask Stack for Extreme Ultraviolet Lithography
https://www.euvlitho[...]
[142]
논문
Proc. SPIE 8322, 832229 (2012)
[143]
논문
Intl. Symp. on EUV Lithography, 2014
[144]
논문
Proc. SPIE 10143, 1014318 (2017)
[145]
논문
Proc. SPIE 9231, 923108 (2014)
[146]
논문
Proc. SPIE vol. 9422, 94220U (2015)
[147]
논문
Proc. SPIE 9776, 97761S (2015)
[148]
논문
Proc. SPIE 2440, 302 (1995)
[149]
웹사이트
Understanding EUV Shot Noise
https://www.jstage.j[...]
[150]
논문
Proc. SPIE 9048, 90483D (2014)
[151]
논문
Proc. SPIE 11518, 115180Y (2020)
[152]
논문
Proc. SPIE 7823, 78230Z (2010)
[153]
논문
Proc. SPIE 9781, 978102 (2016)
[154]
논문
Proc. SPIE 9235, 92350J (2014)
[155]
논문
Proc. SPIE 10143, 1014314 (2017)
[156]
논문
Proc. SPIE 10143, 1014320 (2017)
[157]
특허
US Patent 9715170
[158]
논문
Proc. SPIE 7640, 76401H (2010)
[159]
논문
Proc. SPIE 7520, 75200X (2009)
[160]
논문
Challenges of anamorphic high-NA lithography and mask making
2017-01-01
[161]
논문
Source mask optimization for extreme-ultraviolet lithography based on thick mask model and social learning particle swarm optimization algorithm
[162]
웹사이트
IMEC EUVL 2018 Workshop
https://www.euvlitho[...]
[163]
논문
Proc. SPIE 4343, 392 (2001)
[164]
논문
Proc. SPIE 7271, 72711E (2009)
[165]
논문
J. Micro/Nanolith. MEMS MOEMS 15, 021205 (2016)
[166]
논문
Proc. SPIE 9422, 94220X (2015)
[167]
논문
Proc. SPIE 5037, 494 (2003)
[168]
논문
Proc. SPIE 10143, 1014310 (2017)
[169]
논문
Investigation of the Current Resolution Limits of Advanced Extreme Ultraviolet (EUV) Resists
[170]
논문
J. Micro/Nanolith. MEMS MOEMS 15(2), 021205 (2016)
[171]
논문
Proc. SPIE 9776, 97761P (2016)
[172]
논문
Proc. SPIE 10809, 108090N (2018)
[173]
논문
Proc. SPIE 5377, 902 (2004)
[174]
논문
MTDT 2002
[175]
논문
Proc. SPIE vol. 4000, 1193 (2000)
[176]
논문
Proc. SPIE 6156, 61561I
2006
[177]
논문
Prod. SPIE 5754, 1169
2004
[178]
논문
Proc. SPIE 4754, 1
2002
[179]
논문
Proc. SPIE 8679, 86791L
2013
[180]
논문
Proc. SPIE 10583, 1058312
2018
[181]
웹사이트
Phase-Shifting Masks for NILS Improvement - A Handicap For EUV?
https://www.linkedin[...]
[182]
웹사이트
Eigenmode analysis of EM fields in EUV masks
https://www.euvlitho[...]
[183]
웹사이트
Ultra-high efficiency EUV etched phase-shift mask
https://www.euvlitho[...]
[184]
논문
The SEMATECH Berkeley MET pushing EUV development beyond 22nm half pitch
SPIE
2010-03
[185]
웹사이트
Secondary Electrons in EUV Lithography
https://www.jstage.j[...]
2013
[186]
논문
Jpn. J. Appl. Phys. 29, 2212
1990
[187]
논문
Proc. SPIE 9422, 94220A
2015
[188]
웹사이트
B. Sun thesis, p. 34
https://www.rug.nl/r[...]
[189]
웹사이트
Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography, 2017, p. 100.
https://www2.eecs.be[...]
2018-09-16
[190]
논문
Proc. SPIE 10143, 101430R
2017
[191]
웹사이트
Defocus Impact on Electron Blur in EUV Lithography
https://www.youtube.[...]
2023-03-04
[192]
논문
Phys. Chem. Chem. Phys. 19(20)
2017
[193]
논문
Proc. SPIE 11323, 113230I
2020
[194]
웹사이트
Electron Blur Impact in EUV Resist Films from Interface Reflection
https://www.linkedin[...]
[195]
논문
J. Elec. Spec. and Rel. Phenom. 241, 146824
2020
[196]
논문
Proc. SPIE 12498, 124981E
2023
[197]
Youtube
Defocus Aggravates Stochastic EUV Images
https://www.youtube.[...]
[198]
논문
J. Vac. Sci. & Tech. B 24, pp. 118–130
2006
[199]
간행물
2007 European Mask and Lithography Conference
2007
[200]
논문
Proc. SPIE vol. 7972, 797208
2011
[201]
간행물
2009 Intl. Workshop on EUV Lithography
2009
[202]
논문
J. Vac. Sci. Tech. B29, 041602
2011
[203]
웹사이트
Hydrogen atom based tin cleaning
https://pure.tue.nl/[...]
2014
[204]
논문
J. Appl. Phys. 123, 063301
2018
[205]
웹사이트
Computer modeling of contamination and cleaning of EUV source optics
https://www.euvlitho[...]
RnD-ISAN/EUV Labs & ISTEQ BV
[206]
웹사이트
Hydrogen mediated transport of Sn to Ru film surface
http://www.physics.r[...]
2016-12-14
[207]
웹사이트
Update of Resist Outgas Testing at EIDEC
http://ieuvi.org/TWG[...]
2016-02-16
[208]
웹사이트
The Denitridation of Nitrides Under Hydrogen
http://eprints.gla.a[...]
[209]
웹사이트
THEORY OF HYDROGEN INTERACTIONS WITH AMORPHOUS SILICON in ''Amorphous and Heterogeneous Silicon Thin Films — Fundamentals to Devices''
http://mrlweb.mrl.uc[...]
MRS
1999
[210]
논문
J. Nucl. Mat. 191–194, 439
1992
[211]
웹사이트
Hydrogen Blistering in EUV Multilayers
https://www.youtube.[...]
2022-10-06
[212]
웹사이트
D. T. Elg ''et al.'', J. Vac. Sci. Tech. A 34, 021305 (2016).
http://cpmi.illinois[...]
[213]
웹사이트
Hydrogen-induced blistering in thin film multilayers
https://www.differ.n[...]
[214]
논문
I-Y. Jang et al., Proc. SPIE 9256, 92560I (2014)
[215]
웹사이트
Hydrogen penetration of Ru and Pd/Ru
http://www.msrjourna[...]
[216]
논문
Ruthenium gate electrodes on SiO2 and HfO2: Sensitivity to hydrogen and oxygen ambients
https://www.research[...]
2006-06-12
[217]
웹사이트
Hydrogen penetration of boron carbide
http://pubman.mpdl.m[...]
[218]
논문
M. Mayer, M. Balden, and R. Behrisch, J. Nucl. Mat. 252, 55 (1998).
[219]
논문
S-S. Kim et al., Proc. SPIE 10143, 1014306 (2017).
[220]
웹사이트
Screening of oxidation-resistance capping layers
http://euvlsymposium[...]
[221]
웹사이트
TSMC Confronts Mask Defects from EUV Hydrogen Plasmas
https://frederickche[...]
[222]
논문
B. Thedjoisworo ''et al.'', J. Vac. Sci. Tech. A 30, 031303 (2012).
[223]
웹사이트
Hydrogen plasma for photoresist stripping
https://web.archive.[...]
2019-01-06
[224]
논문
Metal Oxide Resist Outgassing
http://ieuvi.org/TWG[...]
[225]
논문
Comparison of the effects of downstream H2- and O2-based plasmas on the removal of photoresist, silicon, and silicon nitride
null
[226]
논문
J. Beckers et al., Appl. Sci. 9,2827 (2019).
[227]
논문
P. De Schepper et al., J. Micro/Nanolith. MEMS MOEMS 13, 023006 (2014).
[228]
논문
E-S. Choe et al., Adv. Mater. Interfaces 2023, 2300867.
[229]
논문
P. De Schepper et al., Proc. SPIE 9428, 94280C (2015).
[230]
논문
A study of hydrogen plasma-induced charging effect in EUV lithography systems
2023
[231]
웹사이트
M. van de Kerkhof et al., Rad. Effects and Defects in Solids, 177, 486 (2022).
https://www.tandfonl[...]
[232]
논문
K. Bystrov et al., J. Vac. Sci. Tech. A 31, 011303 (2013).
[233]
웹사이트
Nanoparticles in the EUV-Induced Plasma: Another Possible Origin for Stochastic Defects in EUV Lithography
https://frederickche[...]
[234]
웹사이트
Nanoparticles in the EUV-Induced Plasma: Another Possible Origin for Stochastic Defects
https://www.linkedin[...]
[235]
웹사이트
Getting up to speed with roadmap requirements for extreme-UV lithography
http://spie.org/x480[...]
[236]
웹사이트
Fast Simulation Methods for Non-Planar Phase and Multilayer Defects in DUV and EUV Photomasks for Lithography
http://www.eecs.berk[...]
[237]
논문
H. Yu ''et al.'', J. Vac. Sci. Tech. A31, 021403 (2013).
[238]
논문
S. Huh ''et al.'', ''Proc. SPIE'' 7271 (2009).
[239]
논문
K. Seki ''et al.'', Proc. SPIE 9658, 96580G (2015).
[240]
논문
A. Garetto ''et al.'', J. Micro/Nanolith. MEMS MOEMS 13, 043006 (2014).
[241]
논문
Y. Chen et al., Proc. SPIE 10143, 101431S (2017).
[242]
논문
R. Jonckheere and L. S. Melvin III, Proc. SPIE 11517, 1151710 (2020).
[243]
논문
M. Muller et al., Appl. Phys. A vol. 108, 263 (2012).
[244]
웹사이트
EUVL activities in South Korea (including Samsung and SKHynix)
http://euvlitho.com/[...]
[245]
논문
I-S. Kim ''et al.'', Proc. SPIE vol. 8322, 83222X (2012).
[246]
논문
2014
[247]
간행물
Dec. 2015 BACUS Newsletter
2015-12
[248]
웹사이트
EUV Pellicle, Uptime And Resist Issues Continue
https://semiengineer[...]
2018-09-26
[249]
논문
2013
[250]
논문
2015
[251]
논문
2010
[252]
논문
2013
[253]
논문
2017
[254]
논문
2017
[255]
논문
2018
[256]
웹사이트
TSMC Manufacturing Update: N6 to Match N7 Output by EOY, N5 Ramping Faster, Better Yields Than N7
https://www.anandtec[...]
[257]
웹사이트
Samsung to develop 'Pellicle', an essential EUV process product - ETNews
https://english.etne[...]
2021-10-13
[258]
특허
US Patent 12025922
https://patents.goog[...]
[259]
논문
Stochastic effects in EUV lithography: random, local CD variability, and printing failures
2017
[260]
Youtube
Visualizing EUV Stochastics for a 14nm DRAM Example
https://www.youtube.[...]
[261]
논문
2018
[262]
Youtube
EUV Stochastic Variability in Line Cuts
https://www.youtube.[...]
[263]
웹사이트
The Stochastic Impact of Defocus in EUV Lithography
https://www.linkedin[...]
[264]
웹사이트
The Stochastic Impact of Defocus in EUV Lithography
https://semiwiki.com[...]
[265]
논문
2015
[266]
논문
Localized and cascading secondary electron generation as causes of stochastic defects in extreme ultraviolet projection lithography
2019-02-23
[267]
논문
2019
[268]
논문
2015
[269]
웹사이트
Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography
https://cloudfront.e[...]
2017
[270]
논문
2018
[271]
논문
2020
[272]
논문
2014
[273]
논문
2019
[274]
논문
2010
[275]
논문
2015
[276]
논문
Reduction of Line Edge Roughness (LER) in Interference-Like Large Field Lithography
http://scholarworks.[...]
2014
[277]
논문
2008
[278]
웹사이트
2013 Nissan Chemical Industries, 2013 International Workshop on EUV Lithography
http://www.euvlitho.[...]
[279]
논문
2014
[280]
논문
2012
[281]
링크
EUV Resist Absorption Impact on Stochastic Defects
https://www.linkedin[...]
[282]
링크
IRDS 2022 Lithography Roadmap
https://irds.ieee.or[...]
[283]
논문
Stochastic defect removal coating for high-performance extreme ultraviolet lithography
2022
[284]
논문
A Simulation Study for Typical Design Rule Patterns and Stochastic Printing Failures in a 5 nm Logic Process with EUV Lithography
2020
[285]
논문
2024
[286]
논문
2020
[287]
논문
2022
[288]
논문
2020
[289]
Youtube
The Tradeoff of EUV Numerical Aperture: Depth-of-Focus vs. Pupil Fill
https://www.youtube.[...]
[290]
논문
2018
[291]
논문
[292]
웹사이트
Samsung 18 nm DRAM cell integration: QPT and higher uniformed capacitor high-k dielectrics
https://techinsights[...]
[293]
뉴스
Prices for DRAMs Continue to Climb while NAND Flash ASPs Drop
https://epsnews.com/[...]
2018-05-24
[294]
웹사이트
SemiWiki.com - Top 10 Highlights from the TSMC Open Innovation Platform Ecosystem Forum
https://www.semiwiki[...]
2023-08-07
[295]
웹사이트
DAC 2018 TSMC/Arm/Synopsys Breakfast
https://www.synopsys[...]
2018-10-05
[296]
보도자료
Cadence Achieves EDA Certification for TSMC 5nm and 7nm+ FinFET Process Technologies to Facilitate Mobile and HPC Design Creation
https://www.business[...]
2018-10
[297]
웹사이트
Synopsys Digital and Custom Design Platforms Certified on TSMC 5-nm EUV-based Process Technology
https://www.design-r[...]
[298]
웹사이트
DAC 2018 Samsung/Synopsys Breakfast
https://www.synopsys[...]
2018-10-05
[299]
웹사이트
TSMC Goes Photon to Cloud
https://www.eetimes.[...]
[300]
웹사이트
Intel presentation on Complementary Lithography at 2012 International Workshop on EUV Lithography
http://www.euvlitho.[...]
[301]
웹사이트
EUV was never going to be single patterning
https://semiwiki.com[...]
2017-02-05
[302]
논문
2002
[303]
논문
2014
[304]
논문
2002
[305]
논문
2018
[306]
논문
2020
[307]
논문
2018
[308]
논문
2020
[309]
논문
2022
[310]
논문
2012
[311]
링크
Intel's Xeon E5-2600 V4 Chips Feature An Insane 7.2 Billion Transistors on a 456mm2 Die
http://www.anandtech[...]
[312]
논문
2015
[313]
논문
2002
[314]
논문
2015
[315]
논문
2002
[316]
논문
[317]
논문
[318]
웹사이트
High-NA EUV Has Astigmatism
https://frederickche[...]
[319]
논문
[320]
웹사이트
Intel roadmap
https://www.anandtec[...]
[321]
웹사이트
Reality Checks for High-NA EUV for 1.x nm Nodes
https://www.linkedin[...]
[322]
논문
[323]
논문
[324]
웹사이트
ASML Aims for Hyper-NA EUV, Shrinking Chip Limits
https://www.eetimes.[...]
2024-06-12
[325]
웹사이트
biz.chosun.com/it-sc[...]
[326]
논문
Hyper-NA EUV lithography: An imaging perspective
[327]
웹사이트
ASML presentation at 2010 International Workshop on Extreme Ultraviolet Sources
http://www.euvlitho.[...]
[328]
논문
Beyond EUV lithography: a comparative study of efficient photoresists' performance
2015-03-18
[329]
웹사이트
「SEMICON West 2016」、半導体露光技術の進化を振り返る(完結編その2)
https://eetimes.itme[...]
[330]
웹사이트
webelements.com
http://www.webelemen[...]
[331]
논문
Characterization of density profile of laser-produced Sn plasma for 13.5 nm extreme ultraviolet source
[332]
논문
Comparison of EUV spectral and ion emission features from laser-produced Sn and Li plasmas
[333]
논문
Excimer lasers for superhigh NA 193-nm lithography
[334]
논문
Spectral control of emissions from tin doped targets for extreme ultraviolet lithography
[335]
논문
XLA-300: the fourth-generation ArF MOPA light source for immersion lithography
[336]
간행물
2009 EUVL Workshop Summary
2009-07-13/2009-07-17
[337]
웹사이트
Cymer EUV light source
http://www.cymer.com[...]
[338]
웹사이트
Cymer presentation at 2007 EUV Source Workshop
http://www.sematech.[...]
[339]
서적
Fundamentals of Photonics
John Wiley & Sons
[340]
웹사이트
A New Light Source for EUV Lithography
http://spectrum.ieee[...]
[341]
논문
Asymmetry and thickness effects in reflective EUV masks
[342]
웹사이트
ASML update on ADT
http://www.semicondu[...]
[343]
논문
Stable silicon photodiodes for absolute intensity measurements in the VUV and soft X-ray regions
http://www.ird-inc.c[...]
[344]
논문
Silicon Photodiodes for Absolute Soft X-ray Radiometry
http://www.bnl.gov/u[...]
[345]
논문
Absolute dosimetry for extreme-ultraviolet lithography
[346]
서적
Photodetectors: Devices, Circuits and Applications
Prentice-Hall PTR
[347]
뉴스
The Beam Business: Accelerators in Industry
2011-06
[348]
논문
[349]
논문
[350]
논문
[351]
논문
[352]
뉴스
Double Patterning Leads Race for 32 nm
2007-10-18
[353]
논문
[354]
논문
[355]
논문
[356]
논문
[357]
논문
[358]
논문
[359]
논문
[360]
논문
CICC 2007
http://www.ieee-cicc[...]
[361]
논문
http://aecouncil.com[...]
[362]
간행물
Wafer Backside Paper
http://www.seconsemi[...]
[363]
간행물
Brewer Science LED Brochure featuring Substrate Protection
http://www.brewersci[...]
[364]
논문
[365]
논문
SPIE EUV08 paper
http://client.bluesk[...]
[366]
논문
IEEE Annual Report - Conference on Electrical Insulation and Dielectric Phenomena
[367]
논문
http://dimesnet.dime[...]
[368]
논문
[369]
논문
[370]
논문
[371]
논문
[372]
논문
[373]
논문
Intl. Symp. on EUVL 2009
http://www.sematech.[...]
[374]
논문
[375]
논문
[376]
논문
[377]
논문
[378]
논문
[379]
논문
[380]
웹사이트
http://spie.org/x480[...]
[381]
논문
Ph.D. dissertation
http://www.eecs.berk[...]
U. of California, Berkeley
[382]
논문
[383]
논문
[384]
논문
[385]
논문
[386]
논문
[387]
웹사이트
EUV resist TWG 2008
http://ieuvi.org/TWG[...]
[388]
웹사이트
Intel extending ArF lithography to 11 nm node
http://www.nikonprec[...]
[389]
논문
[390]
웹사이트
J. Chen, 2011 IMEC Technology Forum
http://semimd.com/ap[...]
[391]
논문
[392]
웹사이트
H. Kirchauer PhD Thesis (1998)
http://www.iue.tuwie[...]
[393]
웹사이트
Proc. SPIE vol. 7274, 72740C (2009)
http://144.206.159.1[...]
[394]
서적
Handbook of Optics
McGraw-Hill
[395]
논문
[396]
논문
[397]
논문
[398]
논문
[399]
논문
[400]
논문
[401]
논문
[402]
논문
[403]
논문
[404]
논문
[405]
논문
[406]
웹사이트
GlobalFoundries plans EUV by 2015
http://www.eetimes.c[...]
[407]
논문
[408]
논문
[409]
논문
[410]
서적
Introduction to Solid State Physics
John Wiley & Sons
[411]
논문
[412]
웹사이트
http://www.kps.or.kr[...]
[413]
논문
[414]
논문
[415]
논문
[416]
논문
[417]
논문
[418]
논문
[419]
논문
[420]
논문
[421]
논문
[422]
논문
[423]
논문
[424]
간행물
Microprocesses and Nanotechnology, 2007 Digest of Papers
[425]
논문
[426]
보고서
Damage and ablation of large band gap dielectrics induced by a 46.9 nm laser beam
https://e-reports-ex[...]
Lawrence Livermore National Laboratory
2006-03-09
[427]
논문
[428]
논문
[429]
논문
[430]
논문
[431]
웹사이트
EUV-IL at PSI
http://www.psi.ch/sl[...]
[432]
웹사이트
XIL beamline at PSI
http://sls.web.psi.c[...]
[433]
논문
[434]
논문
[435]
간행물
IEDM '98 Technical Digest
[436]
간행물
[437]
웹사이트
AMD uses EUV to pattern metal layer in 45 nm test chip
http://www.tgdaily.c[...]
[438]
뉴스
SPIE: AMD, IBM tip first "full-field" EUV chip
http://sst.pennnet.c[...]
Solid State Technology
2008-02-27
[439]
간행물
[440]
웹사이트
IMEC report on EUV printing of contacts
http://www.physorg.c[...]
[441]
웹사이트
Sematech EUV Resist at 22 nm Half-Pitch
http://www.semicondu[...]
Semiconductor International
[442]
웹사이트
IMEC Makes 22 nm SRAM Cells With EUV Lithography
http://www.semicondu[...]
[443]
간행물
SPIE Lithography Asia 2009
[444]
웹사이트
KLA-Tencor brings stochastic modeling to EUV
http://www.electroiq[...]
[445]
간행물
[446]
웹사이트
EUVA: 2nd EUVL Symposium at Antwerp, Belgium
http://www.euva.or.j[...]
2003
[447]
웹사이트
Intel's extreme ultraviolet dream still somewhere over the rainbow.
http://www.theregist[...]
[448]
웹사이트
High-Power EUV lithography lightsources come of age
http://www.electroiq[...]
[449]
웹사이트
Taking Semiconductor Manufacturing to the extreme
http://www.photonics[...]
[450]
웹사이트
SEMICON West - Lithography Challenges and Solutions
http://www.semi.org/[...]
[451]
보고서
Pushing EUV lithography development beyond 22-nm half pitch
LBNL
[452]
웹사이트
TSMC to take delivery of EUV lithography system in 2011
http://www.digitimes[...]
[453]
웹사이트
TSMC facing EUV, Wafer Cost Challenges
http://english.ime.c[...]
[454]
발표자료
EUVL - getting ready for volume introduction
2010-07-14
[455]
간행물
Source Power Requirement for HVM
http://international[...]
[456]
특허
U. S. Patent 6977715
[457]
논문
Proc. SPIE
[458]
논문
Proc. SPIE
[459]
논문
Proc. SPIE
[460]
논문
Proc. SPIE
[461]
논문
ECS Trans.
[462]
논문
Proc. SPIE
[463]
뉴스
EETimes article on NAND Flash scaling
http://www.eetimes.c[...]
EETimes
2010-03-22
[464]
뉴스
Samsung intros 64-Gbit MLC NAND chip
Electronic News
2007-10-23
[465]
뉴스
Intel, Micron roll 34-nm NAND device
EETimes
2008-05-29
[466]
뉴스
Sandisk-Toshiba reclaim NAND process lead with 19 nm
http://www.eetimes.c[...]
[467]
논문
Proc. SPIE
[468]
특허
US Patent Application 20090153826
http://www.faqs.org/[...]
[469]
웹사이트
IEDM 2011 Press Tip Sheet
http://www.btbmarket[...]
[470]
뉴스
Intel Ramping 32 nm Manufacturing in Oregon
http://www.semicondu[...]
Semiconductor International
2009-09-14
[471]
뉴스
Otellini: Intel to ship more SOCs than PC CPUs -- someday
http://www.eetimes.c[...]
EETimes
2009-09-22
[472]
웹사이트
22 nm HP Integrated Patterning Improvements for EUVL
http://www.synopsys.[...]
[473]
뉴스
Intel Confirms Production of 22nm Processors for late 2011
http://www.conceivab[...]
[474]
뉴스
Sematech launches EUV metrology consortium
http://www.eetimes.c[...]
[475]
간행물
Investor Day
SanDisk
2010-02-26
[476]
논문
2006 IEEE/SEMI Advanced Semiconductor Manufacturing Conference
[477]
논문
Flare compensation in EUV Lithography
[478]
간행물
2009 Lithography Workshop
ASML
[479]
간행물
Mask TWG, EUVL Symposium
ASML
[480]
논문
Proc. SPIE
[481]
논문
1st International EUVL Symposium
[482]
논문
J. Opt. Soc. Am. A
[483]
논문
Nanometer interface and materials control for multilayer EUV-optical applications
[484]
논문
Proceedings of the 1st IEEE Intl. Conf. on Nano/Micro Engineered and Molecular Systems
[485]
뉴스
D2S announces litho tradeoffs at 20 nm and below
http://www.electroiq[...]
[486]
논문
JVST B
[487]
논문
Proc. SPIE
[488]
웹사이트
2009 Sokudo Lithography Breakfast Forum
http://www.sokudo.co[...]
[489]
뉴스
EUV late for 10 nm
http://www.eetimes.c[...]
[490]
뉴스
ASML's EUV Roadmap Points to New Wavelength
http://semimd.com/bl[...]
[491]
웹사이트
Cymer EUV roadmap slips
http://www.dutchhts.[...]
[492]
웹사이트
Samsung resets EUV roadmap for memory scaling
http://semimd.com/bl[...]
[493]
웹사이트
Foundry rivals say EUV not ready for prime time
http://semimd.com/bl[...]
[494]
웹사이트
EUV misses 14 nm node
http://semimd.com/bl[...]
[495]
간행물
ASML Images
[496]
웹사이트
ASML EUV tool update
http://www.eetimes.c[...]
[497]
웹사이트
Samsung and SKHynix EUV order
http://english.etnew[...]
[498]
논문
Future of Memory Devices and EUV Lithography
http://www.sematech.[...]
[499]
논문
Laser Produced Plasma EUV Light Source Gigaphoton Update
2008-05-12
본 사이트는 AI가 위키백과와 뉴스 기사,정부 간행물,학술 논문등을 바탕으로 정보를 가공하여 제공하는 백과사전형 서비스입니다.
모든 문서는 AI에 의해 자동 생성되며, CC BY-SA 4.0 라이선스에 따라 이용할 수 있습니다.
하지만, 위키백과나 뉴스 기사 자체에 오류, 부정확한 정보, 또는 가짜 뉴스가 포함될 수 있으며, AI는 이러한 내용을 완벽하게 걸러내지 못할 수 있습니다.
따라서 제공되는 정보에 일부 오류나 편향이 있을 수 있으므로, 중요한 정보는 반드시 다른 출처를 통해 교차 검증하시기 바랍니다.
문의하기 : help@durumis.com